Forum: FPGA, VHDL & Co. Atlys Spartan 6


von Fragr (Gast)


Lesenswert?

Guten Tag,

ich habe ein altes Atlys Spartan 6 Board und das Buch "VHDL-Synthese - 
Entwurf digitaler Schaltungen und Systeme".
Gerne würde ich das Buch durcharbeiten und dabei die Beispiele auf dem 
FPGA ausführen. Gibt es eine geeignete Software für Ubuntu? Welche 
alternativen hätte ich sonst für Windows?

Beste Grüße
Felix.

von Sebastian (Gast)


Lesenswert?

Xilinx ISE sowohl für Linux als auch für Windows.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.