Forum: PC Hard- und Software Modelsim unter Linux


von FPGA (Gast)


Lesenswert?

Hallo!

Habe auf Linux Mint 19 folgende Modelsim Version:

ModelSim-Intel FPGA Edition (includes Starter Edition)

von dieser Seite:

http://fpgasoftware.intel.com/18.1/?edition=standard&download_manager=direct&platform=linux

heruntergeladen und installiert.

Wenn ich aber ~/intelFPGA/18.1/modelsim_ase/linuxaloem/vsim starte, 
bekomme ich folgende Fehlermeldung:

Error in startup script:
Initialization problem, exiting.

Initialization problem, exiting.

    while executing
"InitializeINIFile quietly"
    invoked from within
"ncFyP12 -+"
    (file "/mtitcl/vsim/vsim" line 1)
** Fatal: Read failure in vlm process (0,0)


Bin dann auf folgende Seite gestoßen:

https://wiki.archlinux.org/index.php/Altera_Design_Software
(Einfach nach "Fatal" suchen...)

Wo die exakt selbe Fehlermeldung beschrieben ist und der Fehler auf eine 
falsche Library-Version zurückgeführt wird.

Leider kann ich die dort beschriebene Methode nicht nachempfinden, da 
mir die Erfahrung dazu fehlt und ich außerdem weder weiß, ob das unter 
Linux Mint 19 ebenfalls die Ursache ist, noch wo ich diese Pakete für 
mein System herbekomme und welche Version aktuell gebraucht wird.

Ich hoffe sehr, dass ihr mir dabei helfen könnt....

Danke im Voraus!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.