Forum: FPGA, VHDL & Co. Quartus: limit due to minimum period restriction (tmin)


von Holger K. (holgerkraehe)


Lesenswert?

Ich bekomme die Meldung:
1
limit due to minimum period restriction (tmin)

Bei fmax summary.
Weis jemand, woher die kommen kann?

Ist scheinbar auf 10MHz begrenzt.
Ich weiss nichts von tmin.

von Markus F. (mfro)


Lesenswert?

ich hatte dasselbe Problem und wusste mir nicht anders zu helfen, als 
für die inout-Ports eigene "in"- und "out"-virtual clocks anzulegen.

Wie das zustande kommt, habe ich (immer noch) nicht verstanden...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.