Forum: FPGA, VHDL & Co. 2 Dimensionales Feld von Konstanten werten mittels verilog


von verilog_2dim (Gast)


Lesenswert?

Hallo,

Ist es möglich mit Verilog ein zweidimensionales Feld mit konstanten 
Werten zu erzeugen?
1
z.b. param [31:0] konstanten [15:0][15:0] = {
2
    { 32bit-wert0, 32bit-wert1 .. 32bit-wert15  },
3
       .
4
       ...
5
       ...
6
   { 32bit-wert0, 32bit-wert1 .. 32bit-wert15  }
7
}

von Martin O. (ossi-2)


Lesenswert?

Vielleicht hilft das:
https://stackoverflow.com/questions/3011510/how-to-declare-and-use-1d-and-2d-byte-arrays-in-verilog

Notfalls kann man ja immer noch die Daten eindimensional angeben und 
zweidimensional addressieren.

von verilog_2dim (Gast)


Lesenswert?

Danke Martin für deine Antwort,

Ich habe ein kleines Schnippsel Hardwarebeschreibung gemacht:
1
module jdoodle;
2
    reg [3:0] test[1:0][1:0];
3
    
4
    initial begin
5
        test = {{1,2},{1,2}};
6
        $display ("Welcome to JDoodle!!!");
7
        $finish;
8
    end
9
endmodule

gibt es einen komfortablen weg gleich das  gesamte Array mit einmal zu 
initialisieren. Am liebsten so wie in c:
1
   test[1][1];
2
   test = {{1,2},{1,2}};

von Hallo (Gast)


Lesenswert?

Hi!
Ein
'
Vor der ersten { sollte zum erwünschten Ergebnis führen. Such im LRM mal 
nach
'{
Da findest ein paar Beispiele!
Gruß

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.