Forum: FPGA, VHDL & Co. ieee_proposed library


von ISE_Designer (Gast)


Lesenswert?

Hallo,

ich benutze die ISE Design Suite 12.2 und möchte gerne die Bibliothek 
ieee_proposed benutzen um mit floating point Zahlen zu operieren.

Die library befindet sich in dem Ordner vhdl/src in dem ISE Ordner, nur 
komischerweise wird sie beim synthetisieren "XST" nicht gefunden.


Kann jemand helfen?

Grüße

von Duke Scarring (Gast)


Lesenswert?

Vielleicht gibt es hier eine brauchbare Information:
https://stackoverflow.com/questions/35242353/synthesisable-fixed-floating-points-in-vhdls-ieee-library

Ich habe das mal für eine synthetisierbare (ISE 14.6) Umrechnung zu 
Floating-point genutzt:
1
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
use ieee.fixed_pkg.all;
5
use ieee.float_pkg.all;
6
:
7
:
8
-- test for float conversation:
9
-- https://www.h-schmidt.net/FloatConverter/IEEE754de.html
10
:
11
:
12
  signal data       : signed( 15 downto 0);
13
  signal data_fixed : sfixed( -20 downto -35);
14
  signal data_float : float32;
15
:
16
:
17
  data       <= mul_result( 31 downto 16);
18
  data_fixed <= to_sfixed( data);
19
  data_float <= to_float( data_fixed);
20
:
21
:

Duke

von Markus F. (mfro)


Lesenswert?

Da: 
https://forums.xilinx.com/t5/Synthesis/compilation-of-ieee-proposed-library-fails-ISE-13-2/td-p/200101

gibt's einen ellenlangen Fred (den ich nicht komplett durchgelesen habe) 
über das Thema, der anscheinend auch eine Lösung enthält.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.