Forum: FPGA, VHDL & Co. Lattice Spreadsheet - Read only?


von Holger K. (holgerkraehe)


Angehängte Dateien:

Lesenswert?

Hallo zusammen

Ich würde gerne mit der Spreadsheet View in Lattice die Pinzuordnung 
definieren. Dazu habe ich alle Ports im VHDL deklariert jedoch noch ohne 
logische Verwendung. Nach der Synthese sind die Ports auch im 
Spreadsheet ersichtlich. Leider kann ich denen jedoch keinerlei Pins 
zuordnen.

Bei einem Rechtsklick gibt es kein "assign pin" oder ähnliches.
Kennt jemand dieses Problem?

Danke

EDIT:

Die stehen alle auf N/A und "unconnected"

ich glaube, die wurden alle wegoptimiert. Doch wie kann ich die 
Optimierung deaktivieren?

Ok, hab nun alle Pins miteinander verodert und auf einen ausgang 
geführt. Nun gehts...

: Bearbeitet durch User
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.