Forum: FPGA, VHDL & Co. Lattice Diamond - unexpanded logical block


von Holger K. (holgerkraehe)


Lesenswert?

Hallo zusammen

Ich versuche ein vhdl modul zu instanzieren.

Das Modul sieht wie folgt aus:
1
library IEEE;
2
use IEEE.STD_LOGIC_1164.all;
3
use IEEE.NUMERIC_STD.all;
4
5
library MACHXO3L;
6
use MACHXO3L.components.all;
7
8
9
entity rgbanalyzer is
10
  port(                         
11
    X_POS  : in  integer range 0 to 2000;
12
    Y_POS  : in  integer range 0 to 2000;
13
    R      : in  std_logic_vector (7 downto 0);
14
    G      : in  std_logic_vector (7 downto 0);
15
    B      : in  std_logic_vector (7 downto 0);
16
    PX_CLK : in  std_logic;
17
    data   : out std_logic;
18
    DE     : in  std_logic);
19
end rgbanalyzer;
20
21
architecture Behavioral of rgbanalyzer is
22
begin
23
24
25
  process(PX_CLK)
26
  begin
27
28
    if(rising_edge(PX_CLK)) then
29
30
31
    end if;
32
  end process;
33
34
end Behavioral;


Ich bekomme immer die Meldung:
1
ERROR - logical block 'rgbanalyzer_i' with type 'rgbanalyzer' is unexpanded.

Die instanzierung sieht so aus:
1
component rgbanalyzer
2
    port (
3
      X_POS  : in  integer range 0 to 2000;
4
      Y_POS  : in  integer range 0 to 2000;
5
      PX_CLK : in  std_logic;
6
      R      : in  std_logic_vector (7 downto 0);
7
      G      : in  std_logic_vector (7 downto 0);
8
      B      : in  std_logic_vector (7 downto 0);
9
      data   : out std_logic;
10
      DE     : in  std_logic
11
      );
12
  end component rgbanalyzer;
13
14
....
15
16
  rgbanalyzer_i : rgbanalyzer
17
    port map (
18
      X_POS  => X_POS,
19
      Y_POS  => Y_POS,
20
      PX_CLK => d,
21
      R      => RED_A,
22
      G      => GREEN_A,
23
      B      => BLUE_A,
24
      DE     => e,
25
      data   => LD_ANALYZER_DATA
26
      );

Hat jemand eine Idee?

Zur Info: zuvor war im Modul rgbanalyzer.vhd durchaus Code enthalten. 
Zwecks Fehlereingrenzung habe ich dann begonnen den Code zu entfernen... 
schluessendlich liefs auch ohne Code nicht.

: Bearbeitet durch User
von Holger K. (holgerkraehe)


Lesenswert?

Problem gefunden.

War eine asynchrone Zuweisung eines Signales...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.