Forum: FPGA, VHDL & Co. Character nach std_logic_vector


von Micha (Gast)


Lesenswert?

Wie kann ich einen character c in einen std_logic_vecor(7 downto 0) q
konvertieren?

q <= conv_std_logic_vector(c,8) funktioniert nicht.

von Xenu (Gast)


Lesenswert?

q <= conv_std_logic_vector(character'pos(c),8);

von Micha (Gast)


Lesenswert?

Vielen Dank.
Gibt es eine gute online-Referenz, bei der die Befehle aufgelistet
sind? (z.B. welche Befehle für welchen Datentyp definiert sind)
Mit meinen Büchern habe ich da irgendwie Probleme.

Gruß Micha

von FPGAküchle (Gast)


Lesenswert?

Die reference cards von qualis sind recht gut:
http://soc.eurecom.fr/EDC/vhdlref.pdf
http://soc.eurecom.fr/EDC/1164pkg.pdf

und die hamburger listen noch einiges auf:
http://tams-www.informatik.uni-hamburg.de/vhdl/

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.