Forum: FPGA, VHDL & Co. Quartus Waveform Editor, max. Simulationszeit


von Gunther (Gast)


Lesenswert?

Hallo,

ich beschäftige mich seit ein paar Tagen hobbymäßig mit einem alten 
Cyclone 2 FPGA und der Quartus Web Edition 13.1.

Die ersten einfachen Module habe ich bereits geschrieben und direkt auf 
dem FPGA mit Oszi getestet, soweit kein Problem.  Jetzt wollte ich mir 
mal die Simulatormöglichkeiten anschauen, speziell den Waveform Editor 
mit Quartus II Simulator, mit dem man sich das Modulverhalten für ein 
paar Mikrosekunden anschauen kann. Da liegt schon das Problem, bei der 
Eingabe der Simulationszeit werden maximal 100us als Maximalwert 
akzeptiert. Aus welchen Grund beschränkt man sich auf diese Zeit und was 
wäre eine Möglichkeit das Verhalten des Moduls über ein paar Sekunden 
anzusehen? Geht dies mit dem Waveform Editor und dem integrierten 
Quartus II Simulator überhaupt oder muss man dafür auf kommerzielle 
Versionen anderer Simulatoren zurückgreifen?

Vielen Dank
Gruß

von Pandur S. (jetztnicht)


Lesenswert?

Ich bin mir nicht sicher, denke aber diese 100us koennen eingestellt 
werden. sie sind einfach etwas versteckt. Ein eigener Menupunkt. Auf die 
andere Seite, kuerze die Simulationen ab. Du musst ja nicht auf 65000 
hoch zaehlen, wenn auf 8 genuegt.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.