Forum: FPGA, VHDL & Co. ModelSim Simulation


von Nadine Schulmayer (Gast)


Lesenswert?

Hallo,

ich möchte gerne in der Simulation von Modelsim bestimmte Bitmuster
als String ausgeben.
Es geht darum, dass ich eine 32-Bit-Operation habe und würde
gerne in der Simulation den Assemlber-Befehl sehen zur
Übersichtlichkeit und nicht 32 Einsen und Nullen.
Die Operationen sind von Type std_logic_vector..

Wenn ich das nicht hinkriege, werde ich doch lieber Architektur
studieren :)

Danke für eure Hilfe im Voraus

Gruss
Nadine

von chris (Gast)


Lesenswert?

kommen denn alle 2° 32 Möglichkeiten vor ?

sonst würde ich einefach einen neuen Datentyp erstellen,

type befehl is (mov,call);

signal ausgabe : befehl;

und dann

case daten_vector is

when "32 einsen" => ausgabe<= mov;
when "000..000" => ausgebe <= call;


end case;

is das halbwegs verstänldich ..
so würd ichs glaub machen ...
da hast du zwar immer noch die viellein 1 und 0 , aber du hast
zusätzlich ein signal "ausgabe" in dem der befehl drinnsteht

  Chris
naja m,wie gesagt, nützt auch nur wenn nicht alle 2^32 möglichkeiten
ausgeschöpft werden

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.