Forum: FPGA, VHDL & Co. QUARTUS-Simaulation


von Markus (Gast)


Lesenswert?

Hallo Quartus-Benutzer

ich scheitere bei dem Versuch eine Simulatin unter Quartus-II
durzuführen.
Die "Compilation" sowie "Analysis & Synthesis" des Programmcodes
gehen ohne Probleme über die Bühne.
Bei der Simulation kommt die Fehlermeldung:"Can´t continou simulation
because delay annotation information for design is missing"
Wisst Ihr, welche Einstellungen ich noch machen muss, damit dies
behoben ist? Es ist meine erste Simulation mit Quartus.

von King-62 (Gast)


Lesenswert?

Hallo Markus,

ich habe Quartus vor einiger Zeit mal kurz benützt. Aber ich benütze es
seit langem nicht mehr. Ähnliches Problem hatte ich auch
ich denke, du solltest nacheinander unter Processing->Start->
1. Start Analysisis & Elobration
2. Start Analysis & Synthesisi
3. Start Fitter
4. Start Timing Analyser
ausführen und erst dann
Start-Simulation ausführen.

Ich habe es damals so benützt und es hat geklappt :)

Good Luck

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.