Forum: FPGA, VHDL & Co. Modelsim / Simultionszeit messen


von Steffen (Gast)


Lesenswert?

Hallo,

kann ich im Modelsim oder per VHDL die Simulationszeit messen und
Anzeigen lassen? Gibt es dazu irgendwelche Assert oder Report
Befehle/Attribute? So das ich im Transcriptfenster so etwas oder
ähnlich  angezeigt bekomme?

Simualation START : HH:MM:SS
Simulation STOP : HH:MM:SS

oder

Simulation DAUER: HH:MM:SS .

Gruß Steffen

von Raini (Gast)


Lesenswert?

Mit "report" laesst sich zumindest die Zeit in der
Simulatorzeiteinheit ausgeben. (VHDL93)

--declaration
variable starttime, stoptime, simtime :time;

-- irgendwo im process:
simtime := stoptime - starttime;
report time'image(simtime);


In dem obigen Format (HH:MM:SS) habe ich spontan keine Idee.

Gruss
R

von Steffen (Gast)


Lesenswert?

Hallo,

dies liefert mit doch nur die simulierte Zeit, oder? Ich suche etwas in
der Art, das mir der Simulator ausgibt, wieviel Zeit (real) er für die
Simulation gebraucht hat. Damit ich dann bei der nächsten Simulation
ungefähr abschätzen kann, in wieviel Stunden die Simulation fertig
ist.

Gruß Steffen

von Raini (Gast)


Lesenswert?

Woher weisst du, wann deine Simulation fertig ist?
Wäre eine Simulation im batchmode möglich? Dann kannst du in einem
script ja die Systemzeit abfragen. Ich habe selber noch nicht im
batchmode simuliert. Es ist aber zumindest möglich.

von fgdsf (Gast)


Lesenswert?

set startDate [clock seconds]

# stop simulation if end_of_simulation is 1
when -label a end_of_simulation {
   echo "End of simulation"
   stop
   }

run 40ms


putsEcho $fileID "# Time for Run: [clock format [expr  [clock seconds] - 
$startDate] -gmt 1 -format %H:%M:%S] "

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.