Forum: FPGA, VHDL & Co. Lattice diamond error 9


von Michael H. (h_m)


Lesenswert?

Hallo,

Ich benutze lattice diamond und möchte die synthese bei einem einfachen 
und Gatter durchführen. Was mehrmals ohne Probleme funktioniert hat.

Und auf einmal bekomme ich immer diesen Fehler bei der Synthese 
angezeigt, und es lässt sich nicht mehr syntesesieren

Synthesis exit by 9
 done error code 9

Ich habe auch schon das ganze Programm deinstalliert und wieder neu 
installiert, aber immer wieder das gleiche mit dem Fehler.

Könnte mir hier bitte jemand weiterhelfen?

: Bearbeitet durch User
von Schukostecker (Gast)


Lesenswert?


von Michael H. (h_m)


Lesenswert?

Ja, aber das verstehe ich nicht was oder wo genau ich da umstellen soll. 
Da ich am Anfang noch stehe.

von Duke Scarring (Gast)


Lesenswert?

Welche Diamond-Version verwendest Du?
Und: Könntest Du ggf. den fehlerverursachenden Code hier einstellen?

Duke

von Michael H. (h_m)


Lesenswert?

1
library IEEE;
2
use IEEE.STD_LOGIC_1164.all;
3
4
entity pin_high  is
5
port (Y : out bit);
6
end entity  pin_high
7
8
architecture behavioral of pin_high is
9
begin
10
  Y <= (1);
11
end pin_high;

ich möchte eigentlich nur den pin Y auf Dauer High schalten. aber er 
lässt sich nicht syntesieren

Die version Diamond 3.12 gestern aktualisiert

von Pat A. (patamat)


Lesenswert?

Es sind Syntaxfehler. Such mal im Output von Diamond nach "See log 
file:" In der angegebenen Datei findest Du dann die Fehlerstelle(n).

- Zeile 6: Semikolon fehlt
- Zeile 10: y <= '1';
- Zeile 11: end behavioral;

von Michael H. (h_m)


Lesenswert?

Vielen dank für deine Zeit, jetzt funktioniert es.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.