Forum: FPGA, VHDL & Co. Error: "No default binding for component"


von Sebastian H. (Gast)


Angehängte Dateien:

Lesenswert?

Hi,

ich hab hier eine ISE Fehlermeldung auf die ich keinen Rat weiß.

ERROR:Xst:762 -
"C:/Programme/Xilinx/MyProjects/CCD_READER/pwm_comparator.vhd" line
97: No default binding for component: <reg>. Port <D> does not match.

Ich hab mal die relevanten Teile zusammen kopiert und angehängt. Ich
denke mal das es wahrscheinlich an der generic-Anweisung liegt, weiß
nur nicht wie ich das geschickt umgehen kann. Sicher seht ihr den
Fehler gleich.

Gruß,
Sebastian

von Klaus Falser (Gast)


Lesenswert?

Die Signale data_input oder addr_input müssen eine falsche Länge haben.
Welches davon steht an Zeile 97 ?

Klaus

von Sebastian H. (Gast)


Lesenswert?

die zeilen 97 - 102 lauten:
1
cmp_reg : reg generic map (BITS => D_WITDH)
2
port map (CLK    => clk,
3
  RESET  => reset,
4
  ENABLE => load_cmp_reg,
5
  D      => data_input,
6
  Q      => data_reg1);

deshalb dachte ich eigentlich das es an dem generic liegt.
Werde jetzt mal die ganzen Längen der Signale checken.

Gruß,
Sebastian

von Sebastian (Gast)


Lesenswert?

Hab den Fehler gefunden.
In component reg war port D ein Ausgang. Muß aber ein Eingang sein.

Gruß,
Sebastian

von Jürgen Schuhmacher (Gast)


Lesenswert?

Da ist aber der Compiler vorher schon drüber gestolpert und hat erst gar
nichts erzeugt, das dann später hätte gebunden werden können.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.