Forum: FPGA, VHDL & Co. actel fusion family; anaolg block mit smartgen inplement.?


von heidi klum (Gast)


Angehängte Dateien:

Lesenswert?

hallo,

mit der fusion family von actel ist es möglich einen analog block
direkt auf dem fpga zu konfig. ich benutze hierzu die freie software
libero, eine toolsammlung. mit dem tool smartgen ist es möglich
automat. einen analogblock mit analogdigitalwandler zu erstellen und
die werte über einen kleinen process (in vhdl source code) aus dem
assc-ram auszulesen.
leider musste ich feststellen, dass der analogblock wohl nicht
arbeitet, da ich auf dem signal datavalid, assc-done, etc. keine flags
bekomme (mit logic analyzer harwaremäßig gemessen).
frage: wie gehe ich vor, bzw. was muss ich beachten, wenn ich einen
anlog block mit smartgen generiere?

heidi

von antti (Gast)


Lesenswert?

Hallo,

das fusion starterkit kommt ja mit ADC demo das lauft ja auch
(habe getestet) ich dachte dieses demo ist als projekt auch
vorhanden und da sollte es ja sichtbar sein wie es getan
werden muss damit es funktioniert?

Antti

von hedwig braeckle (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,

das problem hat sich mittlerweile geklärt.

erstens hatte ich schlicht und einfach vergessen für die generierung
des progammfile den haken bei NVM-block zu machen (im desiner), sprich
der analogblock wurde bei power on gar nicht initialisiert.

zweitens hat sich zuerst der gemessene spannungswert auf dem pin AV0
nicht geändert, wenn man das angeschlossene poti benutzt hat. auf
wundersame weise ist die konfig von mir nach dem prüfen der spannung,
(direkt am poti) plötzlich einwandfrei gelaufen...

ach, ich hab noch ein paar fehler gefunden, die sind im anhang
ausgebessert, außerdem die anzeige über lcd drin und die herausführung
div. signale zum testen.

finde ich super, dass es noch jemanden gibt, der mit diesem board
herumprobiert. ist eine spannende sache, analoge und digitale Signale
auf einem fpga zu haben.

hedi

von tschaugi (Gast)


Lesenswert?

Hallo,

ich habe ein sehr ähnliches Problem. Habe im Analogblock auf ADC_only 
gewechselt.

Bei dem Versuch, die Werte eines Channels auszulesen, bekomme ich immer 
DATAVALID = '0' zurück und somit hänge ich im Wartemode bis DATAVALID = 
'1' wird.

Hat da schon jemand Erfahrungen mit gemacht, wie man den ADC-Block 
richtig anspricht und die Werte auslist?

Danke
tschaugi

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.