Forum: FPGA, VHDL & Co. ucf IOSTANDART


von Mysteria S. (mysteria)


Lesenswert?

Hi,

ich verwenden nen Spartan II von Xilinx, der ist noch 5V tolerant; ich
möchte mit dem FPGA PCI Bus Signale verarbeiten (33MHz, 5V);
wie deklariere ich die IO-Pins um ucf-File richtig?

So:

NET  "CLK"        LOC = "P185"  | IOSTANDARD = PCI33_5; ???

danke!

von Jörg R. (Gast)


Lesenswert?

Fast. Ohne die Pipe. Eine Übersicht über alle Standards gibts hier:

http://toolbox.xilinx.com/docsan/xilnx7/books/data/docs/cgd/cgd0108_69.html

von Jörg R. (Gast)


Lesenswert?


von Mysteria S. (mysteria)


Lesenswert?

also nochmal für die ganze dummen, so ist richtig:

NET  "CLK"        LOC = "P185"   IOSTANDARD = PCI33_5; ???

danke!

von Mysteria S. (mysteria)


Lesenswert?

habs eben mal probiert, aber leider meckert er das = an, auch wenn ich
die leerzeichen rausnehme, stimmt was net mit dem LOC? müssen das 2
anweisungen sein??

von SiO2 (Gast)


Lesenswert?

@steffi we, so ists nicht richtig, die fragezeichen muessen weg ;)

von Mysteria S. (mysteria)


Lesenswert?

ha ha ;-)

2 zeilen ohne fragezeichen, schon gehts grins

danke

von Mysteria S. (mysteria)


Lesenswert?

muss das ganze nochmal hochholen; ich kämpfe momentan mit einem 
komischen fpga sterben;
hab so den verdacht, dass es an den IO-Standarts liegen könnte; hat da 
jemand erfahrung mit?

danke

von fpgaküchle (Gast)


Lesenswert?

Hä? der senkrechte strich soll falsch sein?  Nach meiner Erfahrung muss 
er rein (und die lerrzeichen rechts und links davon).

NET  "CLK"        LOC = "P185"  | IOSTANDARD = PCI33_5;

von Mysteria S. (mysteria)


Lesenswert?

hab mich weiter mit ISE gespielt, wenn man die IO-Standarts direkt in 
ISE eingibt, kommt es auch mit dem | raus; bin im moment verwirrt; werds 
alles direkt in ISE erstellen und hoffe, dass es dann passt...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.