Forum: FPGA, VHDL & Co. Real-Zahlen in Verilog


von G. B. (geri)


Lesenswert?

Hallo

Wieder mal eine Newbie-Frage. Ich habe folgenden Code:

[VERILOG]
//-----------------------------------------------------
// Test floating point operations
//-----------------------------------------------------
module RealTest (Zahl_In,Zahl_Aus);

input        Zahl_In;
output       Zahl_Aus;

real a; //

endmodule
[/VERILOG]

Er lässt sich leider nicht compilieren: Fehler

Error: Verilog HDL unsupported feature error at RealTest.v(12): real 
variable data type values are not supported

Kann das Quartus II etwa gar nicht oder muss ich da noch einen Trick 
anwenden:)?

Beste Grüsse

Geri

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.