Forum: FPGA, VHDL & Co. #Merged#line__


von elfzehn (Gast)


Lesenswert?

Wisst ihr was die Meldung in Modelsim bedeutet

#Merged#line__

die tritt auf bei folgendem Prozess:
in der elsif Zeile

signal delayline : std_logic_verctor (4 downto 0);
signal flag : std_logic;

 process(RESET, SDCLK)
  begin
    if RESET='1' then
      delayline <= (others=>'0');
    elsif rising_edge(SDCLK) then
       delayline <= flag & delayline (4 downto 1);
    end if;
  end process;

liegt es villeicht am fehlenden else beim if??

Synthetisieren lässt es sich auf dem FPGA läufts auch,
In Modelsim simulation auch ok, lediglich in der "Instances" 
Baumstruktur des Projects tritt die Meldung
#Merged#line__ mit der Zeilennummer des elsif.. auf.

Ist das ein Problem?







Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.