Forum: FPGA, VHDL & Co. [suche] VHDL-Dokumentationssoftware


von Christian Schleiffer (Gast)


Lesenswert?

Hallo,

ich suche seit einiger Zeit eine vernünftige, freie 
VHDL-Dokumentationssoftware in der Art von Doxygen.
Gefunden habe ich bisher VHDLDOC [1], das allerdings wesentlich weniger 
kann (Kommandos, Ausgabeformate), als z.B. Doxygen.

Habt Ihr noch Tips oder hat evtl. schon jemand einen neuen Parsers/Input 
Filter für Doxygen geschrieben?

Vielen Dank
/Chris


[1] http://schwick.home.cern.ch/schwick/vhdldoc/

von 123 (Gast)


Lesenswert?


von Christian Schleiffer (Gast)


Lesenswert?

wiederausgrab
Danke, leider kenne ich den Link schon und er bietet keine Lösung. Ich 
bin mit meiner Suche allerdings auch nicht weitergekommen. Es scheint so 
zu sein, dass das zwar viele Leute brauchen, aber keine freien Lösungen 
verfügbar sind. Schade eigentlich. Zum selber programmieren fehlt mir 
leider die Zeit...

/Chris

von J. S. (engineer) Benutzerseite


Lesenswert?

Mir geht es ähnlich. Eigentlich wäre das ein herrlisches Projekt für 
OpenSource. Es muesste sich eben jemand finden. Wer aber hat die Zeit - 
von Studenten maöabgesehen? Eigentlich könnte da mal ein Uni-INstitut 
drangehen und einige DAs drauf loslassen ...

von 123 (Gast)


Lesenswert?

Ich hab ein tcl-Skript das mit Xml-Tags im Vhdl-Code in einer Tex Datei 
speichert. Das wäre eine Grundlage, mein skript ist aber noch nicht 
ausgegohren.

von Francesco N. (franceso-)


Lesenswert?

Bei Xitami gibt es sowas, ist im SLF oder so enthalten, als Beispiel
zum LR glaube ich, das könnte gehen.

von Christian Schleiffer (Gast)


Lesenswert?

Ich habe mich noch nicht so intensiv mit den Interna von Doxygen 
beschäftigt, aber es gibt im Grunde zwei Möglichkeiten neue Sprachen zu 
implementieren.

1) Einen Eingangsfilter, der den Code auf eine bekannte Sprache umbiegt, 
was wohl nur bei eng verwandten Sprachen sinnvoll ist (also z.B. 
Handle-C nach C)

2) Einen eigenen Parser schreiben. Doxygen baut intern auch Bäume, somit 
wäre 123s tcl-Skript ja schon ein guter Ansatz. Klingt nach gar nicht so 
viel Arbeit, aber im Detail liegen die Tücken ;-)

von 123 (Gast)


Lesenswert?

Ich denk der sinnvollste weg wäre die Kommentare im Vhdl-code mit Xml 
tags zu kennzeichnen. Wie das auch in .net in C# möglich ist.
Dann ein Tcl spript zu schreiben das aus den Vhdl-code eine xml datei 
macht, natürlich so dass automatisch die ports , states, variablen und 
signale...
erkennt werden.
Aus der xml datei kann man dann mitels Xsl Html oder auch Latex machen. 
Mir war der weg zu umständlich deshalb habe ich gleich tex daraus 
gemacht. Der mach mir dann PdF's.



von Christian Schleiffer (Gast)


Lesenswert?

> Ich denk der sinnvollste weg wäre die Kommentare im Vhdl-code mit Xml
> tags zu kennzeichnen.

Naja, ich würde vermeiden wollen zig verschiedene Standards zur 
Kommentierung zu nutzen. Die Art wie Doxygen "bedient" wird (\param, 
@param...) finde ich recht praktisch. Da ich auch oft Projekte habe, 
deren Komponenten in mehreren Sprachen entwickelt werden (VHDL, C/C++, 
Java...) finde ich einen konsistenten Kommentarstil sowieso gut. Und ein 
einzelnes Tool, dass man am besten noch per makefile für das ganze 
Projekt scripten kann... herrlich ;-)

Ich schaue mal über Weihnachten tiefer in die Doxygen Sourcen. 
Vielleicht lässt sich ja was einfaches in vertretbarer Zeit auf die 
Beine stellen.

von FPGA-Freund (Gast)


Lesenswert?

Ich würde es begrüßen, wenn man eine Software hätte, die ohne die 
Präparation der HDL-Sourcen auskäme. Es müsste doch möglich sein, die 
Kommentare so zu erkennen.

von daniel (Gast)


Lesenswert?

http://ghdl.free.fr/features.html

comment
GHDL can also be used to pretty print or to generate cross references in 
HTML. See the dlx example, click on any file and follow the links. For 
more details, see the --pp-html and --xref-html commands in the 
documentation.

von Christian Schleiffer (Gast)


Lesenswert?

> die ohne die Präparation der HDL-Sourcen auskäme. Es müsste doch
> möglich sein, die Kommentare so zu erkennen.

Klar ist das möglich. Das Synthesetool erkennt und ignoriert sie ja auch 
;) Die Frage ist eher, wie man die Zuordnung von Kommentaren zu 
Strukturen hinbekommt.
IMO ist die Mehrarbeit für Kommentare im 
Doxygen-/Javadoc-/wasauchimmer-Stil annähernd null - so man sich daran 
gewöhnt hat.
Abgesehen davon, Doxygen dokumentiert auch unkommentierte Strukturen - 
allerdings nur rudimentär.

> GHDL can also be used to pretty print or to generate cross references
> in HTML.

Bekannt, aber nicht das, was ich suche.

von Martin K. (mkohler)


Lesenswert?

wiederausgrab

Der C++ Kollege hat mich heute darauf aufmerksam gemacht, dass Doxygen
http://www.stack.nl/~dimitri/doxygen/index.html
jetzt auch VHDL unterstützen würde.

Eigene kurze Probeläufe sind jedoch wenig zufriedenstellend verlaufen, 
das Problem sitzt wohl aber zwischen den Ohren von wegen korrekte 
Einstellungen wählen...

Hat jemand schon Erfahrungen gemacht mit Doxygen und VHDL?

Gruss, Martin

von gpl (Gast)


Lesenswert?

wo steht das, das doxy jetzt auch vhdl kann ?

von Martin K. (mkohler)


Lesenswert?

gpl wrote:
> wo steht das, das doxy jetzt auch vhdl kann ?

http://www.stack.nl/~dimitri/doxygen/docblocks.html#vhdlblocks

von Matthias G. (mgottke)


Lesenswert?

wiederausgrab

Hat sich inzwischen mal was getan in Sachen Doku?

Doxygen hatte ich auch mal von einem halben Jahr probiert, es gab aber 
leider keinen Informationsgewinn durch die Software! (Zumindest damals).

von Gast (Gast)


Lesenswert?

Da scheint sich nichts zu tun. VHDL ist eine vernachlässigte Sprache. 
Hat auch viel damit zu tun, dass VHDL von Hardwareleuten gemacht wird, 
die oft nichts viel mit Doku am Hut haben und sich das lieber ersparen.

von Matthias G. (mgottke)


Lesenswert?

Ein Jahr ist vergangen. Hat sich bei der "vernachlässigten" Sprache VHDL 
inzwischen mal was in Richtung Dokumentation (vielleicht sogar Richtung 
Doxygen) gentan?

von René D. (Firma: www.dossmatik.de) (dose)


Lesenswert?

Es wäre schön wenn es gleich in Verbindung mit einem Editor wäre. Ich 
probiere gerade veditor aus. http://sourceforge.net/projects/veditor/
Das ist ein Plugin für Eclipse.
Es erkennt schon eine ganze Menge über das Highlighting hinaus und ist 
sehr brauchbar.

Das Doku Problem löst es leider auch nicht. Es wäre nur ein sehr schöner 
Ansatz.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.