Forum: Mikrocontroller und Digitale Elektronik Differentielle AD-Wandlung


von Martin Lechler (Gast)


Lesenswert?

Hallo,

ich möchte mittels eines Shunts einen Strom messen. Nun möchte ich die 
Spannung die am Widerstand abfällt mit dem Mega 8 messen. Dazu habe ich 
die beiden Anschlüsse des Shunts mit jeweils mit einem ADC-Pin des µC 
verbunden.
Ich habe gehört dass man das Signal differentiell wandeln kann.

Nun meine Frage wie mache ich das im Quellcode (bitte in C)?

danke Martin

von Johannes A. (Gast)


Lesenswert?

Dann hat man Dir etwas falsches erzählt.

Der mega8 kann definitiv nicht differentiell messen.

Gruß Johannes

von J. S. (engineer) Benutzerseite


Lesenswert?

Du könntest 2 unsymmetrische Eingänge der MCU nutzen, die jeweils gegen 
Masse gehen und die Differenz im MCU bilden.

von Magnus Müller (Gast)


Lesenswert?

Jürgen S. wrote:
> Du könntest 2 unsymmetrische Eingänge der MCU nutzen, die jeweils gegen
> Masse gehen und die Differenz im MCU bilden.

Das ist nicht wirklich eine Lösung. Wenn sich zwischen den zwei 
Messungen der zu messende Strom ändert kannst du auch nicht den 
korrekten Strom berechnen.

Gruß,
Magnetus

von Johannes A. (Gast)


Lesenswert?

Ja, und dann bei schnell wechselndem Strom eine Differenz von Null 
messen, obwohl was fließt oder umgekehrt...

Über nem Stromshunt passiert mit der Zeit halt so allerlei, und für den 
mega8-ADC ohne Vorverstärker normal nicht genug. Ich würde mich da mal 
nach einem anderen AVR mit Differential-ADC-Eingang und Vorverstärker 
umsehen, oder letzteren extern mit nem extra OpAmp realisieren.

Gruß Johannes

von Jörg B. (manos)


Lesenswert?

Wenn es in etwa bei der Baugröße bleiben soll käme z.B. ein Tiny26 
(differenziell mit optionaler 20x Verstärkung), oder wenns ein bisschen 
mehr sein darf vielleicht ein Mega644 mit 10x bzw. 200x in Frage.

Leider wohl nicht der Mega88 - obwohl der auch neueren Datums ist.

von Sonic (Gast)


Lesenswert?

Beim Tiny26 aufpassen, der kann zwar differentiell, aber nicht negativ! 
0..Uref => 0..1023, kein Vorzeichen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.