Forum: FPGA, VHDL & Co. VHDL und Blockdiagramm mixen


von hans0815 (Gast)


Lesenswert?

Ich versuche vergeblich in Quartus II Web Edition ein simpes und 
unabhängiges "OR" ein ein aus einer VHDL Datei bestehenden Projekt zu 
integrieren.
Irgendwie scheint zwar das "OR" im Projekt sich zu befinden, wird aber 
nicht erzeugt. Auch ist es in der Anzeige "Project Navigartor" unter 
Hierarchy nicht  zun finden.
Wie bekomme ich das da rein? Bzw wie kann ich das "OR" zusätzlich zur 
VHDL datei auf den FPGA bringen?

von Schlumpf (Gast)


Lesenswert?

Aus deinem VHDl nen Block generieren.
Blockdiagramm erstellen
OR und deinen Block reinziehen und verbinden
Dann das Blockdiagramm sythetisieren

von hans0815 (Gast)


Lesenswert?

Ok, das ist der erste Schritt, aber wie erzeuge ich aus VHDL nen Block?
Irgendwie sehe ich wohl den Wald vor lauter Bäumen nicht!

von Schlumpf (Gast)


Lesenswert?

VHDL- Datei öffnen

Edit - Create/Update - Create Symbol File for current File

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.