Forum: Mikrocontroller und Digitale Elektronik Hex File interpretieren


von Philipp C. (ba4_philipp)


Lesenswert?

Hallo,

ich bin gerade dabei die PC Seite für den Bootloader zu basteln. Jetzt 
habe ich allerdings noch eine Frage zu dem Hex File worauf ich bisher 
keine Antwort gefunden habe.

Am Ende der Datei stehen folgende Zeilen:

:101BD0000FB6F894DEBF0FBECDBFDF91CF911F913E
:0A1BE0000F91FF90EF90DF90089541
:0400000300001800E1  *
:00000001FF

Die erste Zeile ist klar. 16 Byte Daten (0x10) dann Adresse usw, die 
nächste Zeile dann mit weniger Daten (0x0A) ist auch noch ok.

Aber was ist das danach? (die Zeile mit dem *) Die Zeile beginnt ja mit 
0x04 was ja 4 Byte bedeuten würde. Und dann komt 0x03 was ich als 
Extended Linear Adress Record gefunden habe. Was ist damit zu tun?

Die lezte Zeile ist auch klar (Ende)

Vielen Dank schonmal
Gruß Philipp

von Peter D. (peda)


Lesenswert?

Schau doch mal in die Hilfe des Programms, welches dieses Hex erzeugt 
hat.

Manche Programme versuchen mit selbst erfundenen Records die Fuse-bits 
zu übermitteln.

Ein Adreßrecord ohne nachfolgende Daten wäre ja sinnlos.


Peter

von jopelabln (Gast)


Lesenswert?

Ich würde mal auf den "Keil" Seiten unter dem Stichwort "Inrel Hex 
Format" suchen. Da das Intelformat nur für 16 Bit definiert war, musste 
etwas her um auch größere Adressen übertragen zu können. Daher ist ein 
Adresstyp 2 definiert worden für 20 Bit und ein Typ 4 für 32 Bit.

von Hagen R. (hagen)


Lesenswert?

http://www.schulz-koengen.de/biblio/intelhex.htm

Wenn sie sich daran gehalten haben dann ist das der Einsprungspunkt ins 
Program. Kannste ignorieren.

Gruß Hagen

von jopelabln (Gast)


Lesenswert?

Hier mal der genaue Link zu Keil. Dort ist der Typ 3 aber auch nicht 
erwähnt.
http://www.keil.com/support/docs/1584.htm

Schöne Weihnachten..

von Philipp C. (ba4_philipp)


Lesenswert?

Ok danke. Also es wurde mit der WinAVR Suite erzeugt und das Ziel ist 
ein Mega8 als reichen die 16bit Adressen. Hmm, ich werds erstmal 
ignorieren

Vielen Dank

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.