Forum: FPGA, VHDL & Co. Spartan oder Cyclone


von Foading (Gast)


Lesenswert?

Für mein Firma  muß ich gerade zwischen Spartan und Cyclone Baustein 
wählen was sind die wesentlichen unterschied? ich meine Vorteile und 
Nachteile?

mfg

von Falk (Gast)


Lesenswert?

@Foading

>Für mein Firma  muß ich gerade zwischen Spartan und Cyclone Baustein
>wählen was sind die wesentlichen unterschied? ich meine Vorteile und
>Nachteile?

Nun, Spartan meinst du sicher nicht, das sind die alten von Xilinx. Du 
meinst sicher Spartan-II(E) bzw. Spartan-3(E). Das ist ein wesentlicher 
Unterschied.

Nun ja, Spartan-II(E) und Cyclone (das ist Cyclone 1) sind sehr ähnlich. 
Welcher besser ist, ist fast ne religiöse Frage. Bei kosten in etwas das 
gleiche und leisten in etwa das gleiche. Ne frei Entwicklungsumgebung 
gibts sowohl von Xilinx als auch Altera.
Spartan-3(E) hat grosse 18kBit BRAMs (die anderen nur 4kBit) und noch 
ein paar andere nette Features. Ist im wesentlichen das Gegenstück zu 
Cyclone 2.

Wirf ne Münze ;-)

MfG
Falk

von VHDL_Mensch (Gast)


Lesenswert?

"Cyclone" klingt besser und ausserdem haben die Altera Chips den 
hübscheren Aufdruck. Ich denke das sind Argumente genug g

von Mike (Gast)


Lesenswert?

Bei einer Firma würde ich vor allem schauen ob die Bausteine auch 
lieferbar sind. Gerade bei neuen Chips oder bestimmten Gehäuseformen 
kann es da ernsthafte Probleme geben. Deshalb vorher z.B. mal bei 
Digi-Key vorbeischauen wieviele die gerade im Angebot haben.

von Chef (Gast)


Lesenswert?

Diese Entscheidung ist ja wohl etwas komplizierter! Wie sieht es mit den 
langfrisitgen Stückzahlen / Preisen aus? Welche weiteren Projekte gibt 
es in der Firma, die aehnliche Baustein erfordern ?

von Foading (Gast)


Lesenswert?

Richtig Falk! Und was ist nun mit  Spartan 3E hat man äquivalent in 
Cyclone-Family?

von Falk (Gast)


Lesenswert?

Spantan-3 und 3E sind sehr ähnlich. Spartan-3E ist noch bissel billiger, 
die haben weniger Pins, dafür mehr IO (oder wars anders herum?). 
Spartan-3(E) ist wie gesagt das Gegenstück zu Cyclone 2.

MfG
Falk

von Foading (Gast)


Lesenswert?

Danke an alle, ich hatte mir schon Gedanke darüber gemacht daß kein 
Wesentliche unterschied Zwischen beiden Baustein Familien gibt.

Der Münze Wurf wird wahrscheinlich die Lösung sein!!-:)

Mit freundlichen Grüßen

von Klaus F. (kfalser)


Lesenswert?

Lade die Vertreter und die FAE's in die Firma ein und wähle den 
freundlicheren und hilfsbereiteren.
Gerade am Anfang ist man auf Tipps und Hilfe vom Hersteller angewiesen.

Klaus

von Andreas (Gast)


Lesenswert?

Hallo,

was ich auch noch bewerten würde für eine Entscheidung zwischen Xilinx / 
Altera sind die verfügbaren Softwaretools (ISE / Quartus).
Hier hat meiner Meinung nach Altera eindeutig die Nase vorn, da in der 
freien Quartus-Version Features integriert sind die man bei ISE 
vergeblich sucht (Logicanalyzer, Memory Editor, ...). Speziell der 
integrierte Signal Tap-Logicanalyzer ist bei der Fehlersuche auch dem 
Board Gold wert. Ein vergleichbares Produkt gibt es zwar auch bei 
Xilinx, allerdings nicht gratis. Weiters ist meiner Meinung nach die 
Synthese bei Quartus besser als bei ISE => Man braucht für ein grösseres 
Xilinx Projekt noch ein vernünftiges Synthesetool (Synplify, ...).
Den einzigen wirklichen Nachteil den ich bei der Cyclone II Familie 
gegenüber der Spartan III Familie sehe ist dass die Cyclone PLLs NICHT 
intern getaktet werden können (nur extern). Dadurch ist man speziell bei 
Projekten die eine komplexere Takterzeugung benötigen doch erheblich 
eingeschränkt.

MfG.
Andreas

von Rick Dangerus (Gast)


Lesenswert?

@Andreas:
Gibt es die Altera-Software auch für Linux?
Läuft sie unbegrenzt, oder muß man immer mal nachlizenzsieren?

Rick

von TobiFlex (Gast)


Lesenswert?

"Gibt es die Altera-Software auch für Linux?"
Weiss ich auch nicht so genau. Aber unter
http://tech.groups.yahoo.com/group/cone_cores/message/6
hat Daniel Mantione beschrieben wie er Quartus unter Linux mit Wine 
benutzt hat. Da ich Windowshasser und Nutzer bin ... ;-) hab ich es 
nicht probiert. Und dass du dich dort nicht extra anmelden mußt kopiere 
ich das Englische einfach mal hierher. Die halbjährliche 
Nachlizensierung für ALTERA Quartus ist unkompliziert aber nötig.

Viele Grüße
TobiFlex

PS: Thanks Daniël

-------------------------------------------------

Hello,

I've been trying to experiment a bit with my C1 this weekend.
However, I'm a 100% Linux desktop user, so switching to Windows is
very annoying for me. There seems to exist a Linux version of
Quartus, but not the web edition. So I tried running Quartus under
Wine.

In case any other people are interrested, here is a small howto how
to make it work:

* Install Wine. I used the SuSE RPM for Wine 0.9.22 from the Wine
download links.
* Download Quartus II.
* Start the installation with "wine quartus.exe"
* Install Quartus. The installation program does its work very
slowly, but it'll get Quartus installed.
* Now, you need to copy a few files from Windows to your c:
\windows\system32 from a real Windows system. My files came from a
Win98 installation. Copy these files:
* MSCVIRT.DLL
* MSVCRT.DLL
* MSVCP60.DLL
* Start "winecfg". Go to libraries and set "msvcrt" to native.
* In the quartus/bin directory, rename the file "jtagserver.exe"
into for example "jtagserver.exe.disabled". This is not strictly
necessary, but will prevent Quartus from trying to install drivers
during startup.
* Now start Quartus. The installation places a nice icon on my KDE
desktop. From the command line it should be
"wine /path/to/quartus/exe".
* Quartus will start, but complain Internet Explorer is not
installed, so the help won't work.
* Install the license file.
* In the menu bar, select "tools->options", then select
"general->internet connectivity". Make the browser points to c:
\windows\winebrowser.exe. Quartus will use the web browser to
display .pdf files, so make sure you have the necessary plugins
installed. For me it lauched Konqueror with Kghostview without any
tuning.
* Done! You can now start developing cores.

Best regards,

Daniël Mantione

von Kest (Gast)


Lesenswert?

Ich würde eindeutig zu Cyclone raten! Oder besser Cyclone II

Die Punkte dafür wurden hier schon genannt
- bessere Entwicklungsumgebung (ein Klick, wo man bei Xilinx zig 
braucht)
  - Übersicht
  - Ünterstützung auch gröserer Bausteine
- PLL
  - hier würde ich sagen hat Altera die Nase vorn. Bei Xilinx sind es 
DCMs, und wer versucht hat da irgendwas einzustellen und Tage später von 
Xilinx gesagt bekommt, dass es manchmal nicht funktioniert oder nicht 
so, wie man es erwartet, weis, wovon ich spreche
- Verfügbarkeit
  - Bei Rechelt gibt es zwar Spartans aber größere Stückzahlen -> Altera
- Preis
  - Gefühlsmäsig würde ich sagen, dass Alteras günstiger sind

Tja... Mir persönlich hat es ausgereicht, um mich von Xilinx zu 
verabschieden


Kest

von Falk (Gast)


Lesenswert?

@Andreas

>freien Quartus-Version Features integriert sind die man bei ISE
>vergeblich sucht (Logicanalyzer, Memory Editor, ...). Speziell der

Ist ein Punkt, ja.

>integrierte Signal Tap-Logicanalyzer ist bei der Fehlersuche auch dem
>Board Gold wert. Ein vergleichbares Produkt gibt es zwar auch bei

Hmmm, bisher gings auch ganz gut ohne.

>Xilinx, allerdings nicht gratis. Weiters ist meiner Meinung nach die
>Synthese bei Quartus besser als bei ISE => Man braucht für ein grösseres
>Xilinx Projekt noch ein vernünftiges Synthesetool (Synplify, ...).

Naja, das ist sehr subjektiv. Was ist für dich ein "grösseres Design"? 
Ich hab sowohl Spartan-3 als auch Cyclone 2 bis 400k Gatter bearbeitet, 
die Ergebnisse waren sehr ähnlich.

@Kest

>Ich würde eindeutig zu Cyclone raten! Oder besser Cyclone II

Sicher, Cyclone 2 ist besser, weil neuer.

>Die Punkte dafür wurden hier schon genannt
>- bessere Entwicklungsumgebung (ein Klick, wo man bei Xilinx zig
>braucht)

;-) Selten so gelacht. Mach klicke "Generate Programming File" und alles 
von der Synthese bis zum fertigen Bitfile wird durchgerattert.

>  - Übersicht

Ist bei beiden sehr ähnlich.

>  - Ünterstützung auch gröserer Bausteine

Bei Xilinx gibts auch sehr grosse Bausteine in Webpack. Die muss man 
erstmal sinnvoll mit Inhalt füllen.

>- PLL

DCM.

>- Verfügbarkeit
>  - Bei Rechelt gibt es zwar Spartans aber größere Stückzahlen -> Altera

Naja, reichelt ist nicht überall das Mass der Dinge.

>- Preis
>  - Gefühlsmäsig würde ich sagen, dass Alteras günstiger sind

Alles subjektiv. Ausserdem ist der Preis kundenabhängig. Grosskunden mit 
gutem Draht zu Xilinx haben bessere Preise als 0815 Krauter. Das ist 
ganz offiziell.

>Tja... Mir persönlich hat es ausgereicht, um mich von Xilinx zu
>verabschieden

You can't please everyone. Aber auch Altera baut gute FPGAs.

MFG
Falk

von Kest (Gast)


Lesenswert?

>;-) Selten so gelacht. Mach klicke "Generate Programming File" und alles
>von der Synthese bis zum fertigen Bitfile wird durchgerattert.

okay, mag sein, dass in den neueren Versionen so ist, das war aber nicht 
immer so

>>  - Übersicht

>Ist bei beiden sehr ähnlich.

Nöö... Bei Altera ist alles in einem Programm, wenn du willst auch in 
einem Fenster. Bei Xilinx gibt es für Synthese, Edit, Pinbelegung, 
Timingconstrains, Programmierung  und was weis ich noch nuterschiedliche 
Programme -> mich stört es gewaltig. Ist aber wohl Ansichtssache

>>  - Ünterstützung auch gröserer Bausteine

>Bei Xilinx gibts auch sehr grosse Bausteine in Webpack. Die muss man
>erstmal sinnvoll mit Inhalt füllen.

ach, da findet man immer was ;-)

>>- PLL

>DCM.

Sage ich doch :-)

Kest

von T.M. (Gast)


Lesenswert?

Xilinx Webpack läuft einwandfrei unter Linux, die Altera SW nur mit 
Wine...
Das ist mein Hauptargument für Xilinx. Der Flow läuft eh mit Makefiles 
ab, da wird nichts geklickt.

von Falk (Gast)


Lesenswert?

@Kest

>>;-) Selten so gelacht. Mach klicke "Generate Programming File" und alles
>>von der Synthese bis zum fertigen Bitfile wird durchgerattert.

>okay, mag sein, dass in den neueren Versionen so ist, das war aber nicht
>immer so

Stimmt, im alten ROM (also die Stadt ;-) war das nicht so. Aber selbst 
in Foundation (Gott hab sie selig) war das schon so. UNd ISE/Webpack 
schon immer.

>Programme -> mich stört es gewaltig. Ist aber wohl Ansichtssache

Eben ;-)

>>erstmal sinnvoll mit Inhalt füllen.
>ach, da findet man immer was ;-)

Hello World in Java?

MFG
Falk

von Kest (Gast)


Lesenswert?

>>>erstmal sinnvoll mit Inhalt füllen.
>>ach, da findet man immer was ;-)

>Hello World in Java?

muss nicht sein, aber z.B. Videoverarbeitung, mC, Muxer und und  und

Kest

von Thomas Pototschnig (Gast)


Lesenswert?

Ich hab sowohl mit Webpack, als auch mit Quartus schon gearbeitet und 
mir ist folgendes aufgefallen:

- für webpack gibts die Modelsim XE version - für Altera kann man 
tricksen und die XE edition zur Altera-Edition (mit eingeschränktem 
Funktionsumfang leider) umbauen.
- Webpack synthetisiert das gleiche Design viel schneller als Quartus. 
Sogar fast um die Hälfte schneller! Da ja der Syntheseprozess im 
Minutenbereich liegt, macht das schon was aus.

Ansonsten finde ich Quartus genauso bedienbar wie Webpack.

Die damalige Entscheidung zwischen Xilinx und Altera fiel mir ebenfalls 
nicht leicht. Es dauerte etwas, aber ich entschied mich dann doch für 
Xilinx und hab es nicht bereut. Den genauen Grund weiß ich eigentlich 
garnicht mehr ... Ich denke es war wirklich nur der Modelsim der mich zu 
Xilinx getrieben hat.

Mfg
Thomas Pototschnig

von Gast (Gast)


Lesenswert?

> für Altera kann man tricksen und die XE edition zur Altera-Edition (mit
> eingeschränktem Funktionsumfang leider) umbauen.

Das ist nicht mehr nötig.

> Now, for a limited time, ModelSim-Altera Web Edition is available for
> Quartus II Web Edition users.

https://www.altera.com/support/software/download/eda_software/modelsim/msm-index.jsp

Quartus II Web Edition v6.1
https://www.altera.com/support/software/download/sof-download_center.html

von Neuer Rahul (Gast)


Lesenswert?

Diese "Limited Time" dauert nunm ehr schon fast 3 Monate! Die werden das 
auch kaum zuücknehmen können, denn der SIM war DER Vorteil von Xilinx.

von Sascha (Gast)


Lesenswert?

@T.M.

vielleicht sollte man noch erwähnen, dass Xilinx zwar unter Linux läuft, 
was auch gut ist, aber man dort nicht das freue ModelSim nutzen kann.
Oder hat sich das mittlerweile geändert?

Sascha

von T.M. (Gast)


Lesenswert?

Nö, Linux leider ohne gratis Modelsim :-(
Dafür nehm ich GHDL + GTKWave.

von 123 (Gast)


Lesenswert?

oder vmware

von Joachim (Gast)


Lesenswert?

Thomas,

Kannst Du mir mal sagen, wie man die Modelsim XE Version für Altera 
hinbiegt?
Ich habe das Problem, dass ich beides (ISE und Quartus) installiert 
habe, aber die Modesim Altera Version will die (bestehenden) Lizenzfiles 
der Modelsim XE Version überschreiben, bzw im gleichen Pfad suchen.
Da Xilinx problem los läuft, möchte ich diese nicht versauen.
Da stellt sich das Problem, wie beides parallel installieren?

Joachim

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.