Forum: FPGA, VHDL & Co. Blockschaltbild aus VHDL-Code?


von Hans (Gast)


Lesenswert?

Hallo

Ich möchte aus VHDL-Code ein Blockschaltbild erzeugen, in dem die 
einzelnen Prozesse und Entitys verdrahtet sind. Erste Frage: Mit welchen 
Programmen kann man das machen?

Wenn ich das Ganze in ModelSim mache (Add to Dataflow), fasst ModelSim 
manche Prozesse automatisch zusammen und sagt dann MERGED#bla,blu. 
Zweite Frage: Wie bringe ich ModelSim bei, dass es das nicht machen 
soll?

Über Antwort(en) würde ich mich freuen. Bedanke mich schonmal.

Gruß Hans

von Falk (Gast)


Lesenswert?

@Hans

>Wenn ich das Ganze in ModelSim mache (Add to Dataflow), fasst ModelSim
>manche Prozesse automatisch zusammen und sagt dann MERGED#bla,blu.
>Zweite Frage: Wie bringe ich ModelSim bei, dass es das nicht machen
>soll?

Bei einer Verhaltenssimulation auf RTL Ebene wird nix gemerged.

MFG
Falk

von Max (Gast)


Lesenswert?

Wenn du mit Quartus arbeitest, mit Xilinx hab ich keine Erfahrung, aber 
ich denke ISE kann das auch, dann kannst du dir nach der Synthese ein 
Blockschaltbild anzeigen lassen.

von Hans (Gast)


Lesenswert?

ISE kann das auch, aber da werden die einzelnen Hardwareblöcke 
dargestellt. Was ich will ist eine Abstraktionsebene höher.

von Max (Gast)


Lesenswert?

Bei Quartus hast du die Wahl zwischen einem RTL Schaltplan. Sprich die 
high level Darstellung und Technologie Schaltplan. Sprich Gatter bzw. 
LUTs Ebene.

von Günter R. (galileo14)


Lesenswert?

@Max:

Ich arbeite mit Quartus II und habe u.a. ein Schaltungsfragment in 
Verilog geschrieben.

Kann ich auch daraus ein Block Design File (sprich: eine 
Schaltplan-Darstellung) erzeugen? Wenn ja: wie?

Danke für einen Hinweis.

Günter

von Hans (Gast)


Lesenswert?

Bei ISE wählt man die Datei aus und dann gibt es eine Wizard, der aus 
den IN/Outputs das Symbol erzeugt. Dieses kann Du dann im Schaltplan 
verwenden. Hinter dem Symbol steht dann Dein geschriebenes  Modul

von Andi (Gast)


Lesenswert?

Du öffnest dein Verilog File in Quartus, dann wählst du im File-Menü: 
Create/Update, und da den Menüpunkt: Create Symbol Files for current 
File.
Danach hast du das Symbol File mit den In- und Outputs.

Gruss Andi

von Freak (Gast)


Lesenswert?

Hans: Das geht aber sicher nur unidirektional, oder? Kann ich das 
Projekt auch so weiterbehandeln?  Wie verhindere ich, daß Xilinx mir bei 
der Synthese aus dem Sch/Sym eine neue HDL generiert und die 
ursprüngliche überschreibt ?

von Andi (Gast)


Lesenswert?

Das Symbolfile repräsentiert dein HDL-File in einem Blockschema. Es ist 
nur ein Kästchen mit allen Inputs links, und den Outputs rechts. Bei 
einem Doppelklick darauf öffnet sich das ursprüngliche HDL File.
Nützlich ist das um ein HDL-File in ein (Block-)Schemafile einzufügen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.