Forum: FPGA, VHDL & Co. Signed Adder mit Carry out


von Johannes R. (jruder)


Lesenswert?

Hallo zusammen!

im Netz finde ich überall Unsigned Adder mit Carry out, Carry in, etc 
aber überhaupt keine Signed Adder mit Carry out! Genau so einen bräuchte 
ich aber.
Kann ich einfach nach dem ersten Bit (Vorzeichenbit) bei beiden 
Summanden eine Null einfügen und dann addieren? (Ach ja, ich benutze das 
ieee.numeric_std Paket; wenn ich hier zwei Signed-Zahlen addiere, 
bekomme ich einen Signed-Wert der gleichen Länge).

Es wäre nett, wenn ihr mir schreiben könntet, ob das geht, bzw. welche 
Lösungen es gibt.

von Christoph Kessler (db1uq) (Gast)


Lesenswert?

Die Addition einer negativen Zahl ist eine Subtraktion, müßte das dann 
nicht Borrow in und out heißen?

von Frank (Gast)


Lesenswert?

Stichwort: Vorzeichenverdopplung. Verdoppeltes VZ xor VZ sollte das 
Overflow-bit ergeben. Und dann noch ein bischen Logik für Carry oder 
Borrow.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.