Forum: FPGA, VHDL & Co. Manchester-Code


von Dennis L. (deluru)


Angehängte Dateien:

Lesenswert?

Hallo Leute,

Ich habe ein kleines Problem!! Und zwar muss ich eine bestimmte Bitfolge 
[DATA_IN] im Manchester-Code kodieren. Dabei kann die Pulsbreite 
[PULSE_W] des Signals (also der kodierten Datenbits) verändert werden. 
Auch die Anzahl der Ausgangsbits kann beschränkt werden (durch 
[BIT_CNT]).

Wie die Manchester-Kodierung funktioniert ist mir klar. Ich habe 2 
Signale ([clock_reference] und [data_reference]) die durch eine XOR 
Verknüpfung zusammengeführt werden ([DP]). [clock_reference] ist ein 
Takt mit der halben Pulsbreite ([PULSE_W]/2) und [data_reference] mit 
der Pulsbreite [PULSE_W].

Es gibt auch die Möglichkeit ein Paritätbit zu erzeugen wenn [BIT_CNT] = 
9 ist. Das Paritätbit ist die XOR Verknüpfung aller Datenbits.

In der Simulation sieht (wie üblich) alles wunderschön aus... Aber in 
der Praxis ist es der totale Müll. Könnte mal jemand auf den Code 
schauen und auffälliges melden?? Ich würde euch sehr dankbar sein!!!

Gruss,
DeLUru

von Klaus F. (kfalser)


Lesenswert?

Deine CounterClock und CounterData Prozesse sind z.B. nicht 
synthese-konform geschrieben.

Ein getakteter prozess soll die Form haben.
1
process(clk, reset) is 
2
begin
3
  if (reset = '1') then 
4
      <asynchrones Zurücksetzen> 
5
  elsif (rising_edge(clk)) then 
6
      < statements > 
7
  end if;
8
end process;

Bei Dir befindet sich der Takt auch im Reset-Pfad, das geht in Hardware 
nicht.

von Falk (Gast)


Lesenswert?

@Klaus Falser

>Deine CounterClock und CounterData Prozesse sind z.B. nicht
>synthese-konform geschrieben.

Ach so?

>Bei Dir befindet sich der Takt auch im Reset-Pfad, das geht in Hardware
>nicht.

Schon mal was von SYNCHRONEM Reset gehört? Geht wunderbar.

MfG
Falk

von Falk (Gast)


Lesenswert?

Allderdings geht das nicht

>  CounterClock : process( CLK_IN, start_clk_counter )
>    begin

>        if ( CLK_IN = '0' and clk_counter = 0 ) then
>            clk_counter <= clk_ref_counter;

>        elsif ( CLK_IN'event and CLK_IN = '1' ) then
>            if ( start_clk_counter = '1' ) then
>                clk_counter <= clk_counter - 1;
>             else
>                clk_counter <= clk_ref_counter;
>            end if;
>        end if;

>    end process CounterClock;

Ein Taktsignal ist nur Takt signal ist nur Taktsignal . . .

MfG
Falk

von Klaus F. (kfalser)


Lesenswert?

@Falk
Was soll Dein Kommentar?
Ich habe nur behauptet, daß es so wie es geschrieben wurde nicht geht.
Etwas anderes hast Du auch nicht geschrieben.

von Dennis L. (deluru)


Lesenswert?

Hallo @all,

Erstmal Danke für die Antworten. Ich denke aber nicht, dass das Problem 
an den Zählern liegt weil die ja korrekt funktionieren (habe das 
[clock_reference] Signal über ein Testpin am Oszi angeschaut und sieht 
sehr gut aus).

Das Problem könnte eher bei DGenerator bzw. StateMachine liegen, weil 
nach max. 9 Datenbits nicht abgebrochen wird ([done] immer 0). Ich habe 
zu Testzwecken [BIT_CNT] auf 3 gesetzt, so dass normalerweise nach 3 
Datenbits das [done] Signal auf 1 übergehen sollte und somit [BUSY] auf 
0. Tut es aber nicht!

von Falk (Gast)


Lesenswert?

@Klaus Falser

>Was soll Dein Kommentar?
>Ich habe nur behauptet, daß es so wie es geschrieben wurde nicht geht.

Dein Kommentar war aber missverständlich.

MFG
Falk

von Klaus F. (kfalser)


Lesenswert?

Dein Index-Signal wird nicht in einem mit CLK_IN getaktetem Prozess 
incrementiert. Das gibt sicher Probleme, weil  DGenerator ziemlich 
sicher Latches erzeugt.
Schreib den Prozess auf synchron um, sodaß nur an der steigenden Flanke 
vom CLK_IN etwas passiert.

Die Zähler CounterClock und CounterData solltest Du aber auch 
verbessern. Es mag zwar sein, daß sie so funktionieren, sie sind aber 
sicher nicht korrekt,
weil das CLK_IN signal auch im
>   if ( CLK_IN = '0' and clk_counter = 0 ) then
>            clk_counter <= clk_ref_counter;
vorkommt.
Am besten, Du ziehst alles in den "if(CLK_IN'event .. )" Block hinein.

Grüße
Klaus




von Dennis L. (deluru)


Lesenswert?

@Klaus

Besten Dank! Es funktioniert jetzt...

Gruß,
DeLUru

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.