Forum: FPGA, VHDL & Co. neuling-frage


von neuling (Gast)


Lesenswert?

ispLever : synplify schenkte mir das hier:


*
Warning: Forcing use of GSR for flip-flops and
latches that do not specify sets or resets
   work.I2C(arch_i2c_1)-I2C_PROCESS.count_0_.Q
   work.I2C(arch_i2c_1)-I2C_PROCESS.count_1_.Q
   work.I2C(arch_i2c_1)-I2C_PROCESS.count_2_.Q
   work.I2C(arch_i2c_1)-I2C_PROCESS.count_3_.Q
   work.I2C(arch_i2c_1)-I2C_PROCESS.shiftreg_0_.Q
   work.I2C(arch_i2c_1)-I2C_PROCESS.shiftreg_1_.Q
   work.I2C(arch_i2c_1)-I2C_PROCESS.shiftreg_2_.Q
   work.I2C(arch_i2c_1)-I2C_PROCESS.shiftreg_3_.Q
   work.I2C(arch_i2c_1)-I2C_PROCESS.shiftreg_4_.Q
   work.I2C(arch_i2c_1)-I2C_PROCESS.shiftreg_5_.Q
   work.I2C(arch_i2c_1)-I2C_PROCESS.shiftreg_6_.Q
   work.I2C(arch_i2c_1)-I2C_PROCESS.shiftreg_7_.Q
   work.I2C(arch_i2c_1)-SCL_OLD.Q
   work.I2C(arch_i2c_1)-SDI_OLD.Q
   work.I2C(arch_i2c_1)-SDO.Q
*

...was sagt mir das?

danke schon mal...


und an anderer stelle kommt sowas:

*
@W: CL112 :"C:\_STRAD~1\...c.vhd":36:1:36:2|Feedback mux created for 
signal SDO. Did you forget the set/reset assignment for this signal?
@W: CL112 :"C:\_STRAD~1\...c.vhd":36:1:36:2|Feedback mux created for 
signal count[3:0]. Did you forget the set/reset assignment for this 
signal?
@W: CL112 :"C:\_STRAD~1\...c.vhd":36:1:36:2|Feedback mux created for 
signal shiftreg[7:0]. Did you forget the set/reset assignment for this 
signal?
@W: CL112 :"C:\_STRAD~1\...c.vhd":36:1:36:2|Feedback mux created for 
signal SDI_OLD. Did you forget the set/reset assignment for this signal?
@W: CL112 :"C:\_STRAD~1\...c.vhd":36:1:36:2|Feedback mux created for 
signal SCL_OLD. Did you forget the set/reset assignment for this signal?

*

was habe ich hier evtl falsch gemacht?

von Holger H. (holger-h-hennef) Benutzerseite


Lesenswert?

Ist das Lattice ???
Was ist das für ein CHIP ??
Wie alt ist das TOOL ???
Has du ein dev.Board ???
Was wilst du machen ???
Ich habe Lattice ispPACK30 Chip Erfahrung.
Hast du den ein Sample was ohne mucken geht ????
Gruss Holger.

von neuling (Gast)


Lesenswert?

lattice...


will nur wissn, was eine "Feedback mux" ist, und für welchen fall er auf 
die idee kommt, sowas zu generieren...

oder bei:
Warning: Forcing use of GSR for flip-flops and
latches that do not specify sets or resets

...was ist ein GSR???

von kopfschüttel (Gast)


Lesenswert?

Es heisst nicht "Feedback MUX" sondern es ist ein MUX und jeder MUX muss 
mit Setz- bzw. Rücksetz-Eingang beschaltet werden und das wist du in 
diesen Fall in der Schaltung vergessen haben.

von Ede (Gast)


Lesenswert?

GSR ist vermutlich "Global Set / Reset"
kann es sein, dass deine FFs keinen Reset-Zustand haben? Dann wird die 
Synthese eventuell versuchen, diesen globalen Reset zu verwenden.

von neuling (Gast)


Lesenswert?

aha, danke mal soweit :)

@kopfschüttel:
ein MUX... ok

hmmm: ok, dann muss ich schauen, in wie weit mein code als multiplexer 
interpretiert wurde?

ich habe eine statemachine gemacht, in der die angemeckerten signale 
nicht in jedem state gesetzt werden müssen (und somit auch nicht gesetzt 
werden)
...könnte das der grund für die warnung sein?

...kann ich auf noch etwas achten, was evtl der grund hierfür sein kann?

@ede:
hmmm, ich habe bei deren deklaration keinen wert zugeordnet...
...kann das damit gemeint sein?

(habe jedoch irgendwo gelesen, das man dies nicht tun soll, da sonst 
evtl kein speicherverhalten entsteht, oder habe ich das falsch 
verstanden)





....soweit mal danke für eure hilfe, es ist nun schon mehr licht in 
meinem dunkel :)

gruss sven

von kopfschüttel (Gast)


Lesenswert?

Hi,

es wäre einfacher dir zu helfen wenn du den VHDL-Code mit dazu posten 
würdest, so aus den blauen heraus ist es schwer ein Tipp abzugeben.

von neuling (Gast)


Lesenswert?

...ja, das stimmt :)
s next ma gibts code :)

...inzwischen hab ichs rausgefunden, was ich falsch machte :)

ich hatte meine definierten signale, variablen mit speicherverhalten und 
ausgangsports nicht im anfänglichen "reset" stehen...

habe nun keine warnungen mehr :)

ich danke euch, eure tipps haben mir geholfen :)

bis zu meiner nexten frage :)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.