Forum: Mikrocontroller und Digitale Elektronik Takt des M16C verstellen


von Markus T. (Gast)


Lesenswert?

Hallo,

arbeit mit dem M16C, habe auch das board von Glyn.

Möchte nun den Takt auf 16MHz stellen, aber egal was ich in das cm0 und 
cm1 register schreibe, es läßt sich nicht beeindrucken.

hat jemand rat oder ein code schnipsel? geht die interne PLL mit 24MHz?

Danke!

von Benedikt K. (benedikt)


Lesenswert?

Hast du die Schutzbits die das Register vor dem Überschreiben schützen, 
vorher deaktiviert ?

von Alexander Heckmayr (Gast)


Lesenswert?

Hallo,

was in der Art - aber bitte nicht einfach Abtippen - muß schon zu dem 
Passen was du machen willst (also ins Datenblatt sehen!). Da die PLL 
aber nur x2,x4,.. kann wirst du wohl mit dem Glyn Board keine 16 MHz 
hinkriegen. Das hat meines Wissens eine 12MHz Quarz drauf.

Gruß
Alexander


 ; Clock mode registers:
        ; ---------------------
        bset    PRC0, PRCR     ; Enable writing to clock mode registers

        mov.b   #0, CM0         ; No div. by 8, xtal drive capacity LOW
        mov.b   #0, CM1         ; clock on, main clock used etc.

 ; init with PLL according to datasheet chapter "PLL Clock"
        bclr    7, CM0          ; Set CM07 to main clock
        bclr    6, CM1          ; Set CM16, CM17 to 00 : No division 
mode
        bclr    7, CM1          ;
        bclr    6, CM0          ; CM16 and CM17 bits are valid
                                ; 12 MHz external clock x 2 = 24 MHz for
                                ; CPU clock using PLL
        mov.b   #011H,PLC0      ; Set PLL multiply to 2 (PLL operation
                                ; enable still off!)
                                ; Set PM20 bit to "0" (2 wait states for
                                ; PLL clock > 16MHz)
                                ; already done in section "Processor 
mode
                                ; registers"
        bset    7, PLC0         ; PLL operation enable
                                ; according to Datasheet 
REJ03B0001-0230Z
                                ; 20 ms delay at Vcc1 = 5.0 V are 
necessary

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.