Forum: FPGA, VHDL & Co. FPGA einstieg


von Ephi (Gast)


Lesenswert?

Hallo allerseits,

ich hab mir jetzt bei der digikey sammelbestellung ein FPGA board 
mitbestellt!
genauer hab ich das XILINX Spartan3E Starter Kit jetzt vor mir liegen!

nun, jetzt weis ich nicht so recht wo ich anfangen soll...

ich hab mich hier und da schon ein wenig in VHDL&Co. eingelesen, aber 
was ich suche ist ein Tutorial, indem der genaue vorgang der entwicklung 
beschrieben ist. also auch wie ich die ISE undso zu bedienen habe.
Was mir auchnoch ganz lieb wäre, wären beispiele, zur ansteuerung von 
LEDs, LCD, und was halt sonst noch auf dem board ist.

Für Links, Tipps, sowie für Beispielcode wäre ich sehr dankbar!

Liebe Grüße
Ephraim

von TheMason (Gast)


Lesenswert?

schau mal auf xilinx.com nach. evtl. findest du da tutorials und 
beispiele.
ansonsten probiers mal auf digilent.com (die vertreiben das board, und 
haben evtl. ein paar beispiele)

von J. S. (engineer) Benutzerseite


Lesenswert?

Es ist doch erstaunlich ,wieviele Leute so ein board haben und wie 
wenige Beispielprojekte es dafür gibt.

von TheMason (Gast)


Lesenswert?

muß mich da auch korrigieren ... dachte es gäbe da mehr ... aber bei 
digilent.org habe ich doch nichts gefunden. bei xilinx habe ich noch 
nicht geguckt ....
sorry für die falsch info ....

von Ephi (Gast)


Lesenswert?

also, mit beispielen ists bei digilent mager!

ich hab ein VHDL einstiegsvideo auf der site gefunden, was ich mir 
nacher mal reinziehen werd!

weitere tipps sind willkommen ;)

von TheMason (Gast)


Lesenswert?

es gab/gibt zum spartan3 ein uhr-demo. das kannst du für dein board 
anpassen (es müssen nur der takt und die i/o's angepasst werden)

von Ephi (Gast)


Lesenswert?

wo finde ich die demo?

hast du evtl. nen link?

von TheMason (Gast)


Lesenswert?

der uhr-link :

http://www.xilinx.com/products/boards/DO-SPAR3-DK/reference_designs.htm

noch ein weiterer link zum spartan 3 (!! nicht 3e) board (hab den noch 
nicht getestet :

http://digilent.org/Products/Detail.cfm?Prod=S3BOARD&Nav1=Products&Nav2=Programmable

(dann unter board verification)

von TheMason (Gast)


Lesenswert?

und hier ein paar referenz-designs für das/ein spartan3e board (weiß 
allerdings nicht ob es dein board ist was du hast)

http://www.xilinx.com/products/boards/s3estarter/reference_designs.htm

vllt. hilfts dir ja

gruß
rene

von Ephi (Gast)


Lesenswert?

Vielen Dank!

ich denke ich kann vorallem mit dem letzten link was machen...

frag mich nur, warum ich das nicht selber gefunden hab O_o

von Kim N. (kim)


Lesenswert?

hi

Vor 2 Monaten hatte ich das selbe Problem wie du ich persönlich bin der 
meinung das die Tutrioals nur wenig geholfen haben in Bezug auf VHDL sie 
helfen eher bei der einarbeitung in ISE (Was auch schon am anfang 
höllisch komplex sein kann :)).

An deiner Stelle würde ich einfach erstmal ein Simples lauflicht 
Progarmmieren oder alle LED blinken lassen (da kann schon einiges 
Schiefgehen^^).

Wichtig ist auch das kennelernen des DCM´s nachdem ich das verstanden 
hatte gings eigentlich recht gut vorwärts

gruss

Kim

von andreas (Gast)


Lesenswert?

Hallo,

von der Firma Trenz (verkauft unter anderem FPGA-Borads) gibts auch eine 
step-by-step Anleitung fürs ISE. Einfach mal googeln.

MFG

von googler (Gast)


Lesenswert?

Hm, nix gefunden, so far ... Hat jemand eine Adresse ?

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

http://www.trenz-electronic.de/home/indexde.htm
ich sehe da auch keine ISE-Anleitung, bekommt man die nur, wenn man ein 
Board kauft? Dann müßte ich mal auf der mitgelieferten CD nachsehen, ich 
habe ein SpartanII-Board von Trenz

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

nein da ist es ja

http://www.trenz-electronic.de/down/xc2s/tc-XC2S-SoC-2.pdf
PDF Dokument Tutorial: First Steps with WebPACK ISE  (PDF, 806kB, letzte 
Änd.: 10.11.2001)

http://www.trenz-electronic.de/down/xc2s/tc-XC2S-SoC-1.pdf
 Tutorial: Introduction to FPGA Technology  (PDF, 532kB, letzte Änd.: 
10.11.2001)
naja gut abgelagert

von Ephi (Gast)


Lesenswert?

hi, also, ich hab jetzt erstmal das netzteil zum board 
Deutschlandkonform gemacht, und bin ja schon glücklich, das die demo 
läuft;)

werde mich jetzt mal in die ISE einarbeiten, und berichten wenn ich 
ergebnisse hab (oder auch nicht;))

von Ephi (Gast)


Lesenswert?

also, ich hab jetzt mit dem ISE tut da angefangen, nun ist da aber von 
source files die man von irgendeiner CD rom holen muss die rede!

ich nehme an das diese cdrom bei dem spartan2 board dabei ist, überdas 
das tut. eigentlich geht..

hat diese CD rom evtl irgendjemand, oder find ich die files sonst 
irgendwo?

von TheMason (Gast)


Lesenswert?

was ist das für ein tutorial ?

von Zacc (Gast)


Lesenswert?

Muss es denn zum Einstieg gleich VHDL sein ? Waere nicht der 
schematische Zugange fuer den Anfang besser ?

von andreas (Gast)


Lesenswert?

Also der Schematic-Editor im ISE ist ein Krampf zu bedienen. Das reicht 
um ein bischen rumzuspielen, mehr aber nicht. Wer was halbwegs 
Sinnvolles machen möchte, braucht VHDL!

von Michael N. (bigmike47)


Lesenswert?

also da man mit schematic-entry nicht viel mehr zusammenbringt als ein 
paar FFs zusammenzuhängen (leicht übertrieben formuliert) ist das reine 
zeitverschwendung. man muss sich halt erstmal in eine neue 
programmiersprache einlesen sowie das ganze funktionieren von fpgas 
verstehen. das ist zwar mühsam, aber man will ja schließlich was lernen, 
oder?
und die xilinx ise sollte ja nun wirklich nicht so ein problem in der 
bedinung sein, ist doch einfach eine IDE wie jede andere. hat zwar einen 
riesenhaufen bugs und anderer dinge zum ärgern, aber die 
bedienerfreundlichkeit gehört meiner meinung nach nicht dazu

von TheMason (Gast)


Lesenswert?

ich denke auch das es keinen (größeren) sinn hat sich erst mit dem 
schaltplaneditor zu beschäftigen. das eine oder andere bekommt man 
sicherlich hin, aber langfristig ist es besser sich mit vhdl/verilog 
auseinanderzusetzen.
vor allem kann man die schematics schlechter nach z.b. altera portieren.

von Ephi (Gast)


Lesenswert?

wer will schon altera... duckundweg

von SchalkewirdnichtMeister (Gast)


Lesenswert?

>wer will schon altera... *duckundweg*

Leute, die von den Xilinx-Tools die Nase voll haben!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.