Forum: FPGA, VHDL & Co. T51 initialisierungsprobleme


von MarekSwierzy (Gast)


Lesenswert?

Hallo zusammen,

ich versuche gerade den T51 Softcore auf dem DE1 Board zu 
initialisieren.
Leider bekomme ich beim compilieren einen Fehler :

Error: M4K memory block WYSIWYG primitive 
"T8052:inst|xram_cyclone:\mon51:Altera_ram|altsyncram:altsyncram_compone 
nt|altsyncram_jvc1:auto_generated|altsyncram_vc82:altsyncram1|ram_block3 
a1"  utilizes the dual-port dual-clock mode. However, this mode is not 
supported in Cyclone II device family in this version of Quartus II 
software. Please refer to the Cyclone II FPGA Family Errata Sheet for 
more information on this feature.


Ich habe den Softcore von der Elektor genommen und wollte eigentlich 
"nur" den Prozessortyp ändern.... aber wie gesagt es kommt zu einem mir 
unerklärlichem problem.

Ich habe das gesammt projekt auf einen onefilehoster gelagt, da es 
zugroß fürs forum war.....


http://www.megaupload.com/de/?d=DES4TXZM

ich bin euch für jede hilfe dankbar.


Ich verwende Quartus II 7.1.



Gruß

Marek

von MarekSwierzy (Gast)


Lesenswert?

So kompilert bekommen habe ich das ding bekommen,
man muss CYCLONEII_SAFE_WRITE auf VERIFIED_SAFE setzen.

Der Prozessor läuf aber immer nocht nicht... hat jemand eine idee warum 
er nicht anspringt ?

von Rick Dangerus (Gast)


Lesenswert?

Leg Dir doch mal den Adressbus/Datenbus auf die 7-Segment-Anzeige. Wenn 
Du dann noch einen Taster entprellst und zur Takterzeugung nutzt, sollte 
da Aktivität zu sehen sein.

Rick

von MarekSwierzy (Gast)


Lesenswert?

Hallo Rick,

dane für den tip.Ich weiss zwar noch nicht wie aber ich werde es 
versuchen.
Hast du den T51 auf dem Cyclone2 schonmal zum laufen bekommen?

Gruß

Marek

von Rick Dangerus (Gast)


Lesenswert?

Nein, bei mir laeuft ein aufgebohrter ATtiny und ein 8051/8032 auf einem 
Spartan3.

Rick

von TobiFlex (Gast)


Lesenswert?

Bei mir läuft auf dem DE1-Board ein 68K und in einem anderen Design ein 
Z80.

Ein T51 sollte also auch laufen. Es kommt selten vor, daß ein Design auf 
Anhieb läuft und genau das macht was es sollt. Dann heißt es Fehler 
suchen.

Ich muß jetzt gestehen, ich bin sehr begeistert von den Möglichkeiten 
des Zusammenspiels von Cyclone bzw. Cyclone II und ALTERA Quartus II.
Zum ersten lassen sich mit Quartus II Designs simulieren und zum anderen 
hat man mit SignalTap(in Quartus enthalten) eine effektive Möglichkeit 
in der Schaltung zu analysieren.

Also ich rate dir dich intensiv mit SignalTap zu befassen. Dann solltest 
du die Stelle an der es hakt schnell finden.
Da ich vermute du brauchst noch Hilfe schreibe ich mal wie ich ran gehen 
würde:
1. Design compilieren,
2. SignalTap aktivieren,
3. überlegen welches Taktsignal zum sampeln taugt( meist ein CPU- oder 
RAM-Takt)
4. Takt für SignalTap festlegen.
5. überlegen welche Signale effektiv Rückschlüsse auf die Funktion des 
Designs erlauben würden (bei einer CPU sind Adress- und Datenbus zu 
empfehlen),
6. zu sampelnde Signale ins setup von SignalTap eintragen.
7. Trigger festlegen(für den Anfang am besten erstmal einen einfachen 
Basistrigger)
8. Design mit SignalTap compilieren.
9. FPGA configurieren.
10. Mit Start und Stop in SignalTap angucken was im Design passiert.
11. gg. mit 5 weitermachen.

Viele Grüße
TobiFlex

von MarekSwierzy (Gast)


Lesenswert?

Hi TobiFlex,

danke für den Tip ich habe da wohl noch irgendwas falsch gemacht.
Kannst du mir sagen wo ich einen deiner beiden Core herunterladen kann 
und wie dort die nötigen Schritte wären um ein solches system auf dem 
DE1 zum laufen zubekommen. Vieleicht lerne ich so am besten.


Vielen Danke


Gruß

Marek

von TobiFlex (Gast)


Lesenswert?

Den Code für das C1-TREX Board findest du hier:
http://www.symbos.de/trex.htm

und Claude hat das schon teilweise an das DE1 Board angepasst:
Beitrag "Re: Altera Cyclone II Evalboard 2C20"

Viel Spaß damit
TobiFlex

PS: Vielleicht solltest du erstmal mit kleineren Sachen anfangen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.