Forum: FPGA, VHDL & Co. Probleme mit Input


von Thomas P. (pototschnig)


Lesenswert?

Hallo,

in einem Design hab ich aus Pinmangel versucht ein normales Input Signal 
auf einen GCLK/I zu legen. Der FPGA ist ein XC2S50.

Beim Implementieren meckert mich das Tool an:
1
ERROR:Pack:1107 - Unable to combine the following symbols into a single IOB
2
   component:
3
     PAD symbol "HEX<0>" (Pad Signal = HEX<0>)
4
     BUF symbol "HEX_0_IBUF" (Output Signal = HEX_0_IBUF)
5
   Each of the following constraints specifies an illegal physical site for a
6
   component of type IOB:
7
     Symbol "HEX<0>" (LOC=P88)
8
   Please correct the constraints accordingly.

Weiß jemand, wie man den dazu überreden kann das Signal trotzdem an den 
Pin zu routen? Oder geht das gleich überhaupt nicht?

von Thomas P. (pototschnig)


Lesenswert?

Lösung gefunden:
1
The GCLK IOs can only use IBUFGs, so the tool is unable to pack a IBUF
2
into the IOB. To work around this issue, specify that the net use an 
3
IBUFG. This can be done by instantiating it in your code or adding a
4
BUFFER_TYPE constraint to your code with the value set to IBUFG. 
5
The syntax for these can be found in the Software Manuals.

Mfg
Thomas Pototschnig

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.