Forum: FPGA, VHDL & Co. Berechnungen im VHDL-Simulator


von Martin (Gast)


Lesenswert?

Hallo Leute!

Ich möchte im Simulator ein paar Multiplikationen und Divisionen
durchführen.
Es kommen auch Zeitvariablen darin vor.

Um das Ganze genau berechnen zu können möchte ich den
Typ Real verwenden. Aber ich glaube den kann man nicht so
ohne weiteres mit Time-Variablen mischen.

Gibt es eine Anleitung, wie man Berechnungen in VHDL durchführen
kann? Oder wie man Runden kann und real-Wert auf Integer wandeln
kann?

Danke für eure Hilfe.

Tschüss
Martin

von noips (Gast)


Lesenswert?

Die gleiche Frage kam bei mir heute auch auf. Hat jemand eine Antwort 
darauf?
Danke!

von noips (Gast)


Lesenswert?

Hat sich mit Hilfe von Google erledigt.

Lösung: integer_v = integer(real_v);

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.