Forum: FPGA, VHDL & Co. Von STD_ULOGIC_VECTOR auf Character


von Martin (Gast)


Lesenswert?

Hallo Leute!

Ich habe einen 8-Bit STD_ULOGIC_VECTOR und möchte diesen
gerne in einen Character umwandeln.
Wie geht das, ich sitze jetzt schon ein paar Stunden.
Auch im Internet fand ich nichts passendes.

Es gibt eine menge Funktionen, die Character auf STD_ULOGIC_VECTOR 
wandeln,
aber umgekehrt habe ich leider nichts gefunden.

Für die Wandlung hätt ich folgendes versucht:
characterwert<=std_logic_vector'image(rxin)rxin));

Doch dann meldet mir das Tool folgendes:
Attribute "Image" requires a scalar type mark prefix

Ich habe keine Ahnung, wie ich diese Fehlermeldung ausschalte,
bzw. ob es sich bei der oben beschriebenen Zeile überhaupt
um den richtigen Ansatz handelt.

Tschüss
Martin

von Mark (Gast)


Lesenswert?

Hi Martin,

ich gehe mal davon aus du willst das nur simulieren.
probier mal:

char_x <= character'VAL(conv_integer(unsigned(x_vector)));

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.