Forum: FPGA, VHDL & Co. Xilinx Spartan 3e, VHDL Modell: nicht benutzte Eingänge


von Martin K. (mkohler)


Lesenswert?

Hallo zusammen,

Bei meinem Modell habe ich in der Entity zwei Eingangssignale, welche 
intern (vorerst) nicht verwendet werden. Diese sind im .ucf File 
angegeben und laufen also intern "ins Leere".
Das freut nun ISE9.1 nicht besonders...

Die Eingänge aus dem Modell entfernen will ich lieber nicht, da ich 
diese später noch brauche.

Wie kann ich am einfachsten erreichen, dass sich ISE9.1 nicht an den 
Eingängen stört und diese einfach als "nicht benutzt" zur Kenntnis 
nimmt, ohne dauernt Warnungen auszugeben?

Einen Pull-up im .ucf konfigurieren reicht wohl nicht?

Gruss, Martin

von Falk (Gast)


Lesenswert?

@ Martin Kohler (mkohler)

>Bei meinem Modell habe ich in der Entity zwei Eingangssignale, welche
>intern (vorerst) nicht verwendet werden. Diese sind im .ucf File
>angegeben und laufen also intern "ins Leere".
>Das freut nun ISE9.1 nicht besonders...

>Wie kann ich am einfachsten erreichen, dass sich ISE9.1 nicht an den
>Eingängen stört und diese einfach als "nicht benutzt" zur Kenntnis
>nimmt, ohne dauernt Warnungen auszugeben?

Die Einträge im UCF auskommentieren.

>Einen Pull-up im .ucf konfigurieren reicht wohl nicht?

Nein, es ist sogar falsch! Einem nichtexistenten Signal einen Pull-up 
zuzuweisen ist eher schwierig. Unbenutzte IOs weden automatisch mit 
Pull-Ups versehen oder offen gelassen, kann man global einstellen.

MFG
Falk

von Martin K. (mkohler)


Lesenswert?

Das heisst aber auch, dass ich diese Reserve-Signale auch in der Entity 
entfernen muss?

von Falk (Gast)


Lesenswert?

@ Martin Kohler (mkohler)

>Das heisst aber auch, dass ich diese Reserve-Signale auch in der Entity
>entfernen muss?

Nein. ISE wird zwar ein Warnungen über "unused inputs" rauswerfen aber 
sonst alles normal bearbeiten. Und du must sie ja nicht löschen, einfach 
mit -- auskommentieren tuts auch ;-)

MfG
Falk


von Martin K. (mkohler)


Lesenswert?

Ok, ich werde das mal versuchen, danke.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.