Forum: FPGA, VHDL & Co. Resetzustand der Pins festlegen


von Dietmar (Gast)


Lesenswert?

Hallo zusammen,

mit welchem UCF-Befehl kann ich den Logikzustand der Ausgänge eines
FPGA nach dem Reset festlegen?

von Falk B. (falk)


Lesenswert?

@ Dietmar (Gast)

>mit welchem UCF-Befehl kann ich den Logikzustand der Ausgänge eines
>FPGA nach dem Reset festlegen?

Mit gar keinem. Was man tun kann ist den Resetzustand von FlipFlops 
festlegen. Den hab ich aber jetzt nicht parat. RTFM hilft dir weiter. 
Constraints Guide. Oder du nutzt einen asynchronen Reset für deine 
FlipFlops und verbindest ihm mit dem POR Primitive.

MfG
Falk

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Falk Brunner wrote:
> @ Dietmar (Gast)
>
>>mit welchem UCF-Befehl kann ich den Logikzustand der Ausgänge eines
>>FPGA nach dem Reset festlegen?
>
> Mit gar keinem. Was man tun kann ist den Resetzustand von FlipFlops
> festlegen. Den hab ich aber jetzt nicht parat.

Einfach das Signal entsprechend initialisieren:
1
signal xyz : std_logic := '1';

von Michael N. (bigmike47)


Lesenswert?

Das bringt fuer die Synthese ueberhaupt nichts, das interessiert nur den 
Simulator. Fuer den wirklichen FPGA muss man init attributes vergeben.

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Doch, siehe "VHDL Language Support" im XST User Guide.

von FPGA Spezialist (Gast)


Lesenswert?

Das bringt für die Synthese soviel, daß das image, das der FPGA bekommt, 
dort entsprechend initialisiert ist! Aber mit dem Reset hat das nichts 
zu tun. Eine FPGA-Schlatung wird ja nicht nur eingeschaltet und geladen, 
daher brauchen zumindeste die FSMs immmer einen startreset und ein 
Signal von Aussen, das auch wirklich resettet-1

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Die VHDL-Initwerte werden durch den Globalen Reset geladen, der lässt 
sich auch aktivieren ohne das FPGA neu zu konfigurieren.

von Roger S. (edge)


Lesenswert?

FPGA Spezialist wrote:
> Eine FPGA-Schlatung wird ja nicht nur eingeschaltet und geladen,
> daher brauchen zumindeste die FSMs immmer einen startreset und ein
> Signal von Aussen, das auch wirklich resettet-1

Du solltest das Spezialist aus deinem Namen streichen.
Hier im Forum wird der Reset, zumindest was SRAM basierte FPGAs 
betrifft, deutlich ueberbewertet.

Cheers, Roger

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.