Forum: FPGA, VHDL & Co. Mein erstes XILINX-Programm, Fehlermeldung, jetzt mit Anhng


von Otto Richter (Gast)


Angehängte Dateien:

Lesenswert?

Hallo da draußen,

schreibe gerade mein erstes XILINX-Programm mit ISE9.1 für einen CPLD
XC9572XL. Design ist fertig, Testbench-File ist erzeugt (VHDL), bei
"Check Syntax" in der "Behavioral Simulation" kommt aber folgende
Fehlermeldung:

ERROR:HDLParsers:164 - "D:/..../XILINX_TX_tb.vhd" Line 13. parse error,
unexpected LT, expecting IDENTIFIER
Parsing "testbench_stx.prj": 0.19

und
Process "Check Syntax" failed.

Ich kann (noch) kein VHDL, habe deshalb das von ISE erzeugte
Testbench-File angehängt.

Wo ist mein Fehler ?

Vielen Dank im Vorraus,

Otto

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Der Code ist voll von <Platzhaltern> die du durch die richtigen 
Bezeichner ersetzen musst.

von Falk B. (falk)


Lesenswert?

@  Andreas Schwarz (andreas)

>Der Code ist voll von <Platzhaltern> die du durch die richtigen
>Bezeichner ersetzen musst.

Nein, wenn ISE bei der Erstellung von Tesbenches die Komponente nicht 
selber einfügt dann sind meist Synthaxfehler schuld. Also erstmal die 
Komponente debuggen.

MFG
Falk

von Otto Richter (Gast)


Lesenswert?

Nochmal Hallo,

ich habe vergessen zu sagen, dass ich in schematic (nur ein 
top-level-design) arbeite und nach dem "ISE9.1-Deep-Tutorial" richte. 
Danach braucht man keinen VHDL-Code zu debuggen. Wie kann ich ein 
schematic debuggen ?

Danke für Euere Bemühungen !

Otto

(die Foren in mikrocontroller.net sind wirklich gut !)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.