Forum: FPGA, VHDL & Co. Coregen in EDK einbinden


von Christoph (Gast)


Lesenswert?

Habe mal wieder ein kleines Problem mit den Xilinx-Tools ;)
Ich habe ein EDK-Projekt, in das ich per ISE&Coregen ein BRAM eingebaut 
habe. Wenn ich das Projekt jetzt syntetisiere, bekomme ich immer 
folgende Fehler:
1
WARNING:NgdBuild:443 - SFF primitive
2
   'simple_bram_0/simple_bram_0/PLB_IPIF_I/INCLUDE_MASTER_ATTACH.I_MASTER_ATTACH
3
   /WRDACK_FF_I' has unconnected output pin

Kann mir mal einer auf die Sprünge helfen?

von Manuel K. (manuel1139)


Lesenswert?

Hast du denn den /WRDACK_FF_I im coregen connected?

von Christoph (Gast)


Lesenswert?

Muss man das?
Ich habe mein EDK-Projekt bzw nur meinen IP-Core mit ISE geöffnet. Dann 
"New source" -> "IP (CoreGen & Architechture Wizzard)" und mir dann ein 
BRAM nach meinen Wünschen zusammengeklickt.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.