Hallo, ich habe ein Package: package pk_register_pack is component shiftregRAM_256_sp3 is port( reg_in : in std_logic_vector(15 downto 0); shift_out : out std_logic_vector(15 downto 0); clk : in std_logic; enable : in std_logic; syncout : out std_logic ); end component; end pk_register_pack; Das lässt sich auch problemlos kompilieren. Wenn ich aber in einer anderen Datei das hier schreibe: use work.pk_register_pack.shiftregRAM_256_sp3; bekomme ich die Meldung: ** Error: U:/VHDL/Packages/pk_FIR_pack.vhd(43): (vcom-1195) Cannot find expanded name: 'pk_register_pack.shiftregram_256_sp3'. Warum?
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.