Forum: FPGA, VHDL & Co. generic STD_LOGIC_VECTOR auf 0 setzen


von Peter (Gast)


Lesenswert?

Hallo,

Ich steh gerade irgendwie auf der Leitung...
Ich habe einen STD_LOGIC_VECTOR mit variabler Länge, den ich auf 
00000..0 setzen will.

[...]

generic (
  bitbreite: integer range 2 to 32;
);

[...]

signal data_reg : STD_LOGIC_VECTOR ((bitbreite-1)  DOWNTO 0);

[...]

?? data_reg alle Bits auf '0' stzen ??


Sollte eigntlich kein Problem sein, aber ich schaffe es gerade nicht..

Ich bitte um Erleuchtung
Vielen Dank!

Peter

von Falk B. (falk)


Lesenswert?

@ Peter (Gast)

>Ich habe einen STD_LOGIC_VECTOR mit variabler Länge, den ich auf
>00000..0 setzen will.
1
generic (
2
  bitbreite: integer range 2 to 32;
3
);
4
5
data_reg <= (others =>'0');
MFG
Falk

von Peter (Gast)


Lesenswert?

Danke!
Es ist so einfach wenn man weiß wie es geht ...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.