Forum: FPGA, VHDL & Co. input: Parallel -> output seriell


von Chris (Gast)


Lesenswert?

Hallo
Mein Modul besteht aus :input [3:0] data_in;
                        input clk;
                        output data_out; // seriell output

Warum wenn ich z.B 1010 als input habe, bekomme ich nicht nach 4 Takts 
1010 am Ausgang
MfG
Chris

von Klaus F. (kfalser)


Lesenswert?

Weil das Modul nicht korrekt geschrieben wurde?

von Falk B. (falk)


Lesenswert?

Wir brauchen dringend einen neuen WIKIartikel

1001 Glaskugelwitz, jeden Tag neu.

;-)

MFG
Falk

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.