Forum: FPGA, VHDL & Co. inout std_logic unresolved in modelsim


von tobias hofer (Gast)


Lesenswert?

hallo

ich habe folgendes problem:

der code ist nur ein ausschnitt aus der testbench
1
  COMPONENT DSP_Interface
2
  PORT(
3
    DSP_DATA   : INOUT std_logic_vector(15 downto 0);      
4
  );
5
  END COMPONENT;
6
7
        SIGNAL DSP_DATA :  std_logic_vector(15 downto 0);
8
9
BEGIN
10
11
  uut: DSP_Interface PORT MAP(
12
    DSP_DATA  => DSP_DATA
13
  );
14
15
  DSP_DATA <= X"00AA";
16
...

Wenn ich nun die testbench mit modelsim simuliere wird DSP_DATA kein 
wert zugewiesen. Das heist wave viewer von modelsim hat DSP_DATA immer 
den Wert 'UUUUUU...'
Hat jemand eine Idee woran das liegen könnte. Alle anderen Signal die 
nicht bidirektional sind funktionieren.

Danke, Tobias

von Falk B. (falk)


Lesenswert?

@ tobias hofer (Gast)

>Hat jemand eine Idee woran das liegen könnte. Alle anderen Signal die
>nicht bidirektional sind funktionieren.

Möglicherweise treibt das Modul aktiv oder U auf den Bus. Es muss in dem 
Fall aber "Z" ausgeben, damit deine Zuweisung aktiv werden kann.

MFG
Falk

von tobias hofer (Gast)


Lesenswert?

ja das war das problem

danke

tobias

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.