Forum: FPGA, VHDL & Co. Error meldung unter ModelSim XE III


von Rabi (Gast)


Lesenswert?

Hallo,

kann mir jemand sagen was diese Error bedeutet und wie ich das Problem 
aufheben kann?

...
# -- Compiling entity fir36xch_tb_vhd
# -- Compiling architecture behavior of fir36xch_tb_vhd
# vsim -lib work -sdfmax /UUT=fir36xch.sdf -t 1ps fir36xch_tb_vhd
# ** Error: (vsim-SDF-3196) Failed to find SDF file "fir36xch.sdf".
# Error loading design
# Error: Error loading design
#        Pausing macro execution
# MACRO ./fir36xch_tb_vhd.tdo PAUSED at line 9

vielen Dank.

Rabi

von Stefan (Gast)


Lesenswert?

Hi,

für deine Timinganalyse fehlt die .sdf Datei. Hast du diese von deinem 
Synthesewerkzeug erstellen lassen? Läuft deine funktionale Simulation?

Stefan

von Rabi (Gast)


Lesenswert?

wie geht das? muss was extra einstellen? optionen aktivieren oder so???

von funker211 (Gast)


Lesenswert?

Mach doch einfach mal ne Suche in Deinem Projektverzeichnis nach *.sdf, 
ich hab's jetzt nicht im Kopf, ob Xilinx das bei der Synthese 
automatisch erstellt. Falls nicht, Syntheseoptionen durchgehen.

Soweit ich mich erinnere, kannst Du ModelSim XE III doch auch mit Timing 
Simulation direkt aus Xilinx ISE starten (Baum öffnen, da gibt's mehere 
Simulationstasks). Ggf. löst das Dein Problem von alleine.

Falls nicht:

Es genügt nicht, einfach nur die SDF-Datei alleine anzugeben, Du 
benötigst den Pfad zur Datei. Üblicherweise liegt die SDF nicht im 
gleichen Pfad, von wo aus Du ModelSim startest.

Beispiel:

vsim -lib work -t 1ps -sdfnowarn +maxdelays -sdfmax 
/uut=/design/project/synthesis/sdf/uut.sdf \

Unter Windows müsste wohl der Pfad samt Laufwerksbuchstaben eingegeben 
werden...

Falls Du übrigens noch weitere Libraries brauchst (was normalerweise der 
Fall ist, wenn Du die synthetisierte Netzliste simulierst), dann kannst 
Du zusätzliche Libreries mit dem -L switch hinzufügen. Die musst Du 
vorher aber compiliert haben, wobei ich schätze, dass Xilinx das in der 
Installation bereits tut. Musst halt noch den Pfad in Deine ModelSim.ini 
eintragen oder von Hand laden.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.