Forum: FPGA, VHDL & Co. FPGA-Einsteiger: Welches Board?


von Benedikt Köppel (Gast)


Lesenswert?

Hallo,

ich bin ganz neu mit FPGAs und habe bisher erst 1x kurz mit einem CPLD 
was gemacht.
Nun möchte ich mir ein FPGA-Board kaufen, nur weiss ich nicht welches.

Ich habe das HW-SPAR3-SK-UNI-G von XILINX gesehen 
(http://www.xilinx.com/xlnx/xebiz/designResources/ip_product_details.jsp?iLanguageID=1&sSecondaryNavPick=BOARDS&key=HW-SPAR3-SK-UNI-G&sGlobalNavPick=PRODUCTS&BV_SessionID=@@@@1329539716.1193892679@@@@&BV_EngineID=cccgaddmgdljgdhcefeceihdffhdfjf.0)
und möchte gerne mal wissen, was ihr davon haltet.
* Taugt das für den Einstieg und ist es der Preis wert?
* Mit dem Kit sollte ich doch eigentlich direkt startfähig sein, oder?
* Wie wird dieser FPGA programmiert? Gibt es da eine grafische Software 
wie z.B: das Max+plusII, oder muss ich es in VHDL machen?

Vielleicht kann mir ja der eine oder andere einen Tipp zur Hardware (und 
Programmierung) geben :).

Vielen Dank schonmal,
Benedikt Köppel

von thomas (Gast)


Lesenswert?

Ob das DevKit etwas taugt, kommt sicherlich darauf an was Du damit 
anstellen willst. Sollte aber doch für einige erste Projekte ausreichend 
sein.

Zum Thema Programmierung: Die Xilinx ISE ( kostenlos ) bietet die 
Möglichkeit mit Hilfe von Schematics zu entwickeln ... naja, wer es mag 
;)
Eine andere Möglichkeit VHDL weitestgehend zu umgehen stellt die 
Benutzung von Matlab/Simulink in Verbindung mit dem SystemGenerator dar. 
Allerdings wirst Du wohl die Anschaffungskosten dafür scheuen ^^ und 
auch die Einarbeitung ist etwas unschön. Also beleibt eigentlich nur die 
ISE mit VHDL und Schematics ...

von Falk B. (falk)


Lesenswert?

@ Benedikt Köppel (Gast)

>Ich habe das HW-SPAR3-SK-UNI-G von XILINX gesehen
>(http://www.xilinx.com/xlnx/xebiz/designResources/i...)

>* Taugt das für den Einstieg und ist es der Preis wert?

Ja.

>* Mit dem Kit sollte ich doch eigentlich direkt startfähig sein, oder?

Ja.

>* Wie wird dieser FPGA programmiert? Gibt es da eine grafische Software
>wie z.B: das Max+plusII, oder muss ich es in VHDL machen?

Max+plusII ist von Altera, dein Board aber ist von Xilinx. Da kannst du 
nur mit Webpack ISE von Xilinx programmieren. Ich empfehle Version 6.3, 
die ist nicht so riesig und weniger buggy. Mit der Software kannst du 
entweder in VHDL oder graphisch (Schematic) programmieren.

MFG
Falk

von Nixcheck (Gast)


Lesenswert?

Hier mal eine Meinung von einem anderem Einsteiger.

* Taugt das für den Einstieg und ist es der Preis wert?

Für den Einstieg halte ich ein FPGA ohnehin für überdimensioniert. Aber 
das Teil ist sein Geld wert.

* Mit dem Kit sollte ich doch eigentlich direkt startfähig sein, oder?

Naja das Kit sicher - du wirst dich aber trotzem noch erheblich 
einarbeiten müssen.

* Wie wird dieser FPGA programmiert? Gibt es da eine grafische Software
wie z.B: das Max+plusII, oder muss ich es in VHDL machen?

Du must das nicht mit vhdl machen du darfst!

Das Beste dabei ist, du kannst dir sogar nach jedem erfolgreichem 
Schritt, deine erstellte Schaltung als Schaltplan anschauen. Mit Webpack 
ISE kannst du zudem auch noch anderen Hardwarebeschreibungssprachen 
verwenden. Für eine FPGA wird übringens in der Regel nicht programmiert 
(es sei den du hast auf deinem FPGA einen Mikrocontroller 
implementiert). Sondern es wird die gewünschte Schaltung und deren 
Verhalten Beschrieben.

Lade dir doch einfach mal die Webpack ISE runter (ist kostenlos) und 
schau dir das mal an. Ich verwende noch Verion 6.2 aus den gleichen 
Gründen die Falk erwähnt hat.

Schau dir das auch mal an:
http://www.xilinx.com/xlnx/xebiz/designResources/ip_product_details.jsp?sSecondaryNavPick=BOARDS&key=HW-CRII-SK-G-PROMO&sGlobalNavPick=PRODUCTS&BV_SessionID=@@@@0826046177.1193953764@@@@&BV_EngineID=cccgaddmgdljgdhcefeceihdffhdfjf.0

Keine FPGA nur ne CPLD allerdings eine, die schon was drauf hat. und zur 
Zeit wirklich günstig zu haben.

Das von dir ausgesuchte Spartan 3 Board hat allerdings diesen Vorteil:
http://de.sump.org/projects/analyzer/
Das kann sehr nützlich sein wenn man dann doch (wie ich) erst mal mit 
CPLD rumprobiert und schaut ob die auch das machen was man will ;-)

Gruß

von Benedikt Köppel (Gast)


Lesenswert?

Hallo,

vielen Dank für eure Tipps.

Zum Thema Schematics / VHDL:
ich kann jetzt natürlich nicht einschätzen, was mir besser liegt. Für 
komplexe Sachen ist wohl VHDL besser geeignet, oder?
Wäre ja nicht so schlimm das zu lernen :D

Matlab und Simulink habe ich zur Verfügung. Das gibt die ETH Zürich den 
Studenten gratis.

Dass ich mich in FPGA-Programmierung einarbeiten muss, ist mir klar - 
darum will ich ja auch ein Board kaufen :)
Ich denke, wenn ich ein CPLD kaufe, und dann schon sehr bald einen FPGA 
will, dann hat es sich nicht gelohnt, den CPLD zu kaufen. Also doch 
lieber gleich einen FPGA.

Ok, dass nicht "programmiert" wird habe ich nicht gewusst. Habe 
eigentlich mit "programmieren" auch das Beschreiben der gewünschten 
Funktion gemeint.

Gibt es denn sinnvolle VHDL-Tutorials für Einsteiger wie mich? Und ist 
das auf allen FPGAs, die man mit VHDL "beschreiben" kann, dann gleich? 
Oder unterscheidet sich VHDL für die einzelnen FPGAs?

Gruss,
Benedikt

von tom (Gast)


Lesenswert?

Also wenn du schon soviel Geld ausgeben willst dann würde ich Dir das 
Spartan-3E-Board empfehlen, kostet genauso viel hat aber eine bessere 
Ausstattung (mehr Peripherie). Weiterer Vorteil des Spartan-3E-Board 
ist, dass es über USB programmiert wird, während das andere ein 
RS232-Programmierkabel benutzt (hat dein PC noch RS232?).

Um mit dem WebPack vertraut zu werden würde ich Dir raten dort in die 
Hilfe zu schauen, dort ist ein Start-Tutorial.

Zu VHDL findet sich einiges im Netz, ich sag nur google

Gruß tom

von Benedikt Köppel (Gast)


Lesenswert?

Tom,
du meinst also dieses Board:
http://www.xilinx.com/xlnx/xebiz/designResources/ip_product_details.jsp?iLanguageID=1&sSecondaryNavPick=BOARDS&key=HW-SPAR3E-SK-US-G&BV_UseBVCookie=yes&sGlobalNavPick=PRODUCTS&BV_SessionID=@@@@2128510287.1194037730@@@@&BV_EngineID=cccgaddmgdljgdhcefeceihdffhdfjf.0
HW-SPAR3E-SK-US-G

Was ist der Unterschied genau zwischen Spartan 3 und 3E?

Klar, USB ist toller. Ansonsten habe ich ein USB<->RS232-Interface, das 
sehr gut funktioniert (im virtualisierten Windows ^^).

Kennt auch jemand eine gute Verkaufsquelle in Deutschland, oder in der 
Schweiz, für solche Xilinx-Ware?

Gruss und vielen Dank für eure Hilfe,
Benedikt

von Nixcheck (Gast)


Lesenswert?

Bei den Herstellern umschauen must du dich schon selber.
Dieses Dokument könnte dir allerding bei Xilinxs weiterhelfen:

http://www.xilinx.com/publications/products/cpld/logic_handbook.pdf

Aber auch andere Mütter haben schöne Töchter.
Bei Xilinx finde ich allerdings den Einstieg recht angenehm.

Evaluationboards für FPGAS werden von den großen Herstellern meist über 
Distributoren vertriebe. Ob die auch Studenten beliefern ist teilweise 
fragwürdig, Bestellung direkt beim Hersteller kann funktionieren - die 
Kosten sind allerdings stehts höher als man zuerst glaubt 
(Merwertsteuer, Versandkosten Zoll, sonstige Nebenkosten). Digilent 
stellt viele Xilinx boards her und beliefert wohl auch Privatpersonen 
aus den USA - Nebenkosten fallen aber auch an.
Also bemühe die Uni oder einen Bekannten der ein Gewerbe angemeldet hat 
dann kannst du die lokalen Distributuren nutzen.

Beispiel: wenn ich hier bei einem deutschen Distributor das Coolerunner 
II Bord bestelle, das in den USA für $24.95 angeboten wird, kostet mich 
das 18 Euro und 14 Euro Versandkosten! Plus Mehrwertsteuer.
Distributoren sind keine Katallogversender wie Reichelt oder Conrad die 
Versandkosten sind daher angemessen.
 Versandkosten aus den USA sind meist sehr viel saftiger und MwSt. und 
Nebenkosten fallen auch an (kann sich aber bei einer Sammelbestellung 
lohnen).
Gruß

von Nixcheck (Gast)


Lesenswert?

P.S. Distributoren von Herstellern findest du am einfachsten über:
http://www.aufzu.de/semi/halbleit.html
Gruß

von tom (Gast)


Lesenswert?

Genau diese Board meinte ich, ich an der FH mit beiden Boards arbeiten 
dürfen, ich finde das Spartan-3E hat halt mehr Möglichkeiten für den 
gleichen Preis.

Den genauen Unterschied zwischen Spartan 3 und 3E kenn ich aucht nicht. 
Vielleicht weiß jemand anderes da bescheidt.

Ob das Programmieren mit einem USB-RS232-Adapter hinhaut kann ich nicht 
sagen, ich weiß allerdings dass es da hin und wieder Probleme gibt beim 
programmieren von Flash-µC. Von daher würde ich die reine USB geschichte 
vorziehen, hat bei mir ohne Probleme auf anhieb funktioniert

von na (Gast)


Lesenswert?

Zur Bestellung schaust du hier:
http://shop.trenz-electronic.de/catalog/default.php?cPath=1_47

Zum Unterschied Spartan 3 und 3E schaust du hier:
http://www.xilinx.com/products/silicon_solutions/fpgas/spartan_series/index.htm
(kurz: Spartan 3E hat mehr Logik, dafür weniger I/O,
Spartan 3A hat viel I/O, weniger Logik,
Spartan 3 ist eine Mittelding)

Zum Tutorial VHDL schaust du hier:
http://tams-www.informatik.uni-hamburg.de/research/vlsi/vhdl/
oder auch hier
http://tams-www.informatik.uni-hamburg.de/research/vlsi/vhdl/

Und ja, VHDL ist allgemein gesagt unabhängig vom beschriebenen FPGA, man 
muss aber auf dessen Möglichkeiten Rücksicht nehmen (z.B. 
Logik-Ressourcen, Anzahl und Maximum der Takte, Tristate-Verhalten,...).

von na (Gast)


Lesenswert?

Außerdem kannst du schon Xilinx ISE (zum Beschreiben der Hardware, 
Synthese und Laden des FPGA) und ModelSim XE (zur Simulation) von der 
Xilinx-Seite laden und loslegen.

von tom (Gast)


Lesenswert?

Hier noch ein Buch zu VHDL, zwar schon etwas älter aber kostenlos und 
nicht schlecht als Nachschlagewerk

http://www.itiv.uni-karlsruhe.de/opencms/opencms/de/study/lectures/liv1/vhdl_download.html

Eine praxisorientierte Einführung in VHDL mit Beispielen:
Titel:         VHDL
Author:       Molitor/ Ritter
Verlag:       Pearson Studium
ISBN13:       9783827370471
ISBN10:       3-8273-7047-7

Es gibt aber noch weitere... z.b. mal bei amazon, buch.de, usw schauen

von ----- (Gast)


Lesenswert?

@tom
Das ist ein gutes Buch, aber für den Anfang viel zu umfanreich. Es 
eignet sich meiner Meinung nach eher als Nachschlagewerk.

Ich habe mit dem Buch VHDL-Synthese (ISBN 3-486-25128-7) angefangen, ist 
ein gutes Lehrbuch.

von Philipp D. (lordofgroove)


Lesenswert?

Das VHDL-Archiv der Uni Hamburg ist schon ziemlich gut. Zur Ergänzung 
nochmal zwei Links:

http://www.vhdl-online.de/

http://mikro.e-technik.uni-ulm.de/vhdl/anl-deut.vhd/html/vhdl-all.html

Diese beiden fand ich auch ganz nett.

Wenn du die Referenz zum Thema suchst solltest du dir mal "The 
Designer's Guide to VHDL" von Peter Ashenden anschauen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.