Forum: FPGA, VHDL & Co. Problem mit Modelsim


von Martin (Gast)


Lesenswert?

Hallo

Ich habe folgendes Problem beim Simulieren mit Modelsim

Sobald sich Modelsim startet erhalte ich folgende Fehlermeldung:
# -- Loading package standard
# -- Loading package std_logic_1164
# ** Error: dll_standard.vhd(12): Library unisim not found.
# ** Error: dll_standard.vhd(13): (vcom-1136) Unknown identifier 
"unisim".
# ** Error: dll_standard.vhd(16): VHDL Compiler exiting
# ** Error: C:/Modeltech_xe_starter/win32xoem/vcom failed.
# Error in macro ./FktGen_Main_tb_vhd.fdo line 5
# C:/Modeltech_xe_starter/win32xoem/vcom failed.

Ich habe in meinem Projekt eine DLL integriert. Diese benötigt ja für 
die Takt-Buffer die unisim Library, welche ich auch eingefügt habe...

Muss ich noch eine Biblothek in mein Modelsim integrieren, damit auch 
diese Simulation läuft?

Danke Euch!

von Falk B. (falk)


Lesenswert?

@ Martin (Gast)

>Ich habe in meinem Projekt eine DLL integriert. Diese benötigt ja für
>die Takt-Buffer die unisim Library, welche ich auch eingefügt habe...

Lass das mit der Simulation der DLL. Das ist langsam und unnötig.

MFG
Falk

von Nils (Gast)


Lesenswert?

Ja aber wie kann ich die Sache umgehen, wenn ich Modelsim starte? Er 
will ja die Lib automatisch laden? Und es lief ja auch schon mal....
Das ist ja das komische....


Danke

von Martin (Gast)


Lesenswert?

ok der pfad der modelsim war nicht korrekt. nun läd er auch die lib

danke

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.