Forum: FPGA, VHDL & Co. Nutzung von "Shared variables"


von mässig erfahrener FPGA Wüstling (Gast)


Lesenswert?

Habe einen Fremd-Code un integrieren, in dem "SHARED VARIABLES" benutzt 
werden, deren Funktion sich mir nicht erschließt.

Die Deklaration lautet:
1
SHARED VARIABLE arm_address : STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0');
2
SHARED VARIABLE arm_read_en : STD_LOGIC := '0';
3
SHARED VARIABLE avm_write   : STD_LOGIC := '0';
4
SHARED VARIABLE test_vector : STD_LOGIC_VECTOR(7 DOWNTO 0)  := (OTHERS => '0');
Wennn ich in ModelSIM compiliere, kommt :

** Warning: C:\Multiplx\Eggenberg\armsim_sim\armsim.vhd(120): 
(vcom-1236) Shared variables must be of a protected type.

Wie ist das zu lesen / zu nutzen ?

von Joko (Gast)


Lesenswert?

Hi Wüstling,

Regel: Variablen dürfen i.A. nur lokal in einem Prozeß verwendet werden 
!

aber keine Regel ohne Außnahme:

deklariert man eine Variabel als 'shared' s.o., so kann man sie auch 
dort deklarieren, wo man normalerweise ein Signal deklariert.

Dies benötigt man z.B., wenn man "Speicher" beschreiben möchte

aber

'Man' (wer auch immer) hat festgestellt, daß man in der Simulation auf 
Multiprozessor-Systemen in Probleme laufen KANN (nicht muß), weshalb im 
VHDL-Standard 2003 (nur zu diesem Zweck gibts diese neue VHDL-Version) 
den Typ 'protected' eingeführt hat...
(den willst Du aber nicht wirklich verwenden - viel zu komplizert)

Besser:
a) schreib (wenn möglich) den Code um, so daß er "signal" statt 
"variable" verwendet.

b) wenn es nur um's Verständnis geht: ignorier die ModelSim-Warnung und 
erinnere Dich ggf. bei Simulations-Problemen an diese Antwort...

Gruß
Jochen

von mässig erfahrener FPGA Wüstling (Gast)


Lesenswert?

Danke ! super !

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.