Forum: FPGA, VHDL & Co. Werkszustand von CPLDs?


von Max (Gast)


Lesenswert?

Hallo!

Ich bin dabei eine Schaltung zu bauen wo ein CPLD und ein AVR 
miteinander kommunizieren. Die entsprechenden Pins des AVR sind dabei 
direkt mit den Pins des CPLDs (XC9572) verbunden.
Der AVR ist bereits programmiert, der CPLD ist noch nicht eingebaut. 
Kann ich einen unprogrammierten CPLD in den Sockel einsetzen und dann 
die Betriebsspannung anlegen? Was passiert, wenn eine Leitung mit 
Datenrichtung AVR=>CPLD vom AVR auf high gesetzt wird, und der CPLD 
unprogrammiert ist?
Kann dieser dadurch zerstört werden?
Der AVR ist ein fix eingelöteter Mega128, und ich kann diesen dadurch 
nicht während der Programmierung des CPLDs entfernen.

Noch eine Frage: Angenommen ich habe einen Fehler gemacht, und AVR und 
CPLD wollen beide auf die gleiche Leitung einen unterschiedlichen Pegel 
schreiben.
Wer von den beiden wird dadurch zerstört?

Ich hoffe, dass meine Fragen nicht zu dämlich sind, und würde mich 
freuen, wenn Ihr mir weiterhelfen könntet.

Viele Grüße

Max

von Falk B. (falk)


Lesenswert?

@ Max (Gast)

>die Betriebsspannung anlegen? Was passiert, wenn eine Leitung mit
>Datenrichtung AVR=>CPLD vom AVR auf high gesetzt wird, und der CPLD
>unprogrammiert ist?

Gar nichts. Die unprogrammierten CPLD Pins sind hochohmig, ggf. mit 
Pull-Ups.
Während der CPLD (neu) programmiert wird ebenso.

>Kann dieser dadurch zerstört werden?

Nein.

>Der AVR ist ein fix eingelöteter Mega128, und ich kann diesen dadurch
>nicht während der Programmierung des CPLDs entfernen.

Wäre auch reichlich sinnfrei. Beide ICs sind ja In System Programmable.

>Noch eine Frage: Angenommen ich habe einen Fehler gemacht, und AVR und
>CPLD wollen beide auf die gleiche Leitung einen unterschiedlichen Pegel
>schreiben.
>Wer von den beiden wird dadurch zerstört?

Der Schwächere. Wobei beide schon relativ robust sind, und solchen 
Missbrauch ne Weile aushalten. Sollte aber die Ausnahme bleiben und im 
richtigen Betrieb vermieden werden.

MfG
Falk

von Max (Gast)


Lesenswert?

Vielen Dank!

Das ist eine gute Nachricht.

Viele Grüße Max

von Gast (Gast)


Lesenswert?

"Wobei beide schon relativ robust sind,"

Wenn einer Vdd und der andere GND treibt, dann gute Nacht.

von Mike (Gast)


Lesenswert?

@Gast:

Das Kennlinie für den I/O Port eines AVRs sagt das bei 5V und 65mA die 
Spannung auf 3V absinkt. Bei 3.3V kann man den Wert noch halbieren. In 
ug445 kannst du den Verlauf für ein CPLD anschauen (die Kennlinien gehen 
da bis in den Kurzschluss). Anhand der Kurven würde ich sagen, dass da 
maximal 60mA (30mA bei 3.3V) pro Pin fliessen können.

Es gibt dann noch einem maximalen Strom der durch so ein IC 
hindurchfliessen darf. Im Datenblatt des AVR steht 200mA. Wenn also 
nicht gleich ein ganzer Bus gegeneinander kämpft, dann passiert da 
überhaupt nichts. Es besteht höchstens die Gefahr das die ICs die 
entstehende Wärme nicht mehr schnell genug loswerden.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.