Forum: FPGA, VHDL & Co. ise 9.2 Cpld Xilinx


von coolrunneropfer (Gast)


Lesenswert?

hello all!
ich hab ein problem mit Pace (pinout area und constraints editor).Ich 
hab mein program in schematic geschrieben und hab ich es kompiliert und 
analysiert alles laüft wunderschön.Aber wenn ich meine Pins am Device 
anschliessen will ja kriege ich im pace editor andere Eingänge und 
Ausgänge die ich nicht brauche.Ich hab eine kleine Aufgabe gemacht ,dass 
ich counter in schematic geschrieben habe und hab ich ein clock als 
eingang definiert und ausgänge mit namen bezeichnet ,aber ich krieg 
dasselbe problem. Im pace Editor hab anders als was ich definiert 
hab.Die projekthierarchie bei mir ist so:projektname--->device-->Topl 
level schematic(in dem ich mein programm geschrieben hab).ich weiss 
nicht woran liegt das?
ich hoffe dass meine Frage deutlich ist und für jede Hilfe bin ich sehr 
dankbar.

MFG

von Klaus F. (kfalser)


Lesenswert?

Um es korrekt zu verstehen:
Du hast im Schematics die Pin's zugewiesen und im PACE zeigt es dir 
etwas anders an?
Schau mal im Fitting Report an (*.RPT) nach, was wirklich erzeugt wurde.

von coolrunneropfer (Gast)


Lesenswert?

ja ich hab bei dem Fitter Report geschaut und alle Pins was ich ich 
definiert hab,stehen in der Tabelle(pintype:I/O) aber im pace editor hab 
ich dasselbe problem und sogar krieg ich jetzt diese 
fehlermeldung:ERROR:DesignEntry - Could not apply constraint: ,Weil ich 
im ucf Datei per Hand pins definiert habe(NET "clk" LOC = "P12" ;)
mfg

von Klaus F. (kfalser)


Lesenswert?

Vergiss Pace.
Weis deine Pins entweder im Schematics oder besser im UCF file zu.
Wenn im Fitter Report steht, dass die Signale an die richtigen Pins 
zugewiesen wurden, dann ist ja alles in Ordnung.

von Jens M. (jens-m)


Lesenswert?

Hi coolrunneropfer,

hatte das gleiche Problem. Ich hatte auch mein CPLD-Schematic fertig 
gemacht. Die I/O-Marker fein mit Namen versehen und als ich die dann dem 
CPLD zuteilen wollte hatte ich lediglich z.B. nur die Anschluesse eines 
Counters und meine Marker waren weg.

Ich konnte mir wie folgt helfen:

-Schematic-File mit Marker erstellen
-Implement Design -> Rerun all
-Pace extern starten -> New -> Input Design File -> filename.ngd-> 
Select Part -> CPLD wählen

Danach kann man in Pace die Marker den CPLD-Pins zuweisen.
Im Anschluss muss nachher noch die UCF Datei manuell der Schematic-File 
zugewiesen werden.

Danach noch ein letztes Mal:
-Implement Design -> Rerun all

Dann sollte alles so laufen.

Ich hoffe dir damit helfen zu können.

MfG Jens

P.S.: es darf bis dahin keine UCF-File im Ordner vorhanden sein.

von coolrunneropfer (Gast)


Lesenswert?

Hallo Jens!
Ich hab vor halber Stunde mit dem was Du mir geschrieben hast das 
Problem zu lösen und das war genau die richtige Lösung.Jetzt laüft alles 
wunderbar.Danke schön für Dich und für k.Falser.
mfg

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.