Forum: FPGA, VHDL & Co. Modelsim: No objects found matching.


von Philip K. (plip)


Lesenswert?

Hi,

ich habe in meiner Testbench einen Process :
fft_re_fwrite: process(clk_work)
   variable wData: signed(31 downto 0);
begin
   ...

Diese Variable möchte ich gerne anzeigen mit:
add wave /fft_re_fwrite/wData

Hab das auch schon tausendmal so gemacht. Aber dieses mal weigert sich 
Modelsim standhaft und sagt:
** Error: (vish-4014) No objects found matching '/fft_re_fwrite/wData'.

Hat jemand ne Ahnung, woran das liegen kann?

von Thomas H. (mac4ever)


Lesenswert?

Lassen sich beim ModelSim nicht nur Signal anzeigen?

Zur Not schieb den Wert der Variable auf ein Signal, dann sollte es auf 
jedenfall funktionieren.

von Philip K. (plip)


Lesenswert?

Nein, bisher ging das auch mit Variablen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.