Forum: FPGA, VHDL & Co. Tool zum Einbinden von vhdl-Modulen (ISE)


von chris (Gast)


Lesenswert?

Hallo,

habe ein etwas grösseres VHDL-Modul mit mehreren Packages usw. mit 
ISE8.2
zu synthetisieren.Kleinere Projekte lassen sich ja gut über die Wizards 
einbinden,stoße aber bei diesem großem fast an meine Geduldsgrenze.
Gibts vielleicht nicht ein Tool mit dem man ein Projekt ins ISE ohne
graphische Oberflächen einfügen kann(mit selbstdefinierten Bibliotheken 
usw).
Das Einfügen über die ISE-Wizards kostet mich zuviel Nerven und ist 
fehler
anfällig.
Gibts so ein Tool sogar für Linux(open source)?

Danke an alle Wissenden
MFG
Chris

von knilch (Gast)


Lesenswert?

Makefile schreiben, alles auf scripte umstellen.

oder was soll dein tool können?

von Günter -. (guenter)


Lesenswert?

Auf der Seite von Dillon Engineering findest du ein Pythonskript:

http://www.dilloneng.com/documents/downloads/gen_ise_sh/

In dem Skript können die ganzen Files und Einstellungen eingegeben 
werden und das generiert dann ein Makefile.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.