Forum: Analoge Elektronik und Schaltungstechnik Spice - Simulationsanfang bei Sinus


von Esko (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,

Ich nutze LTspice regelmäßig um kleinere Schaltungen zu simulieren.
Dabei fiel mir neulich ein Problem auf, das ich hier mal auf das 
wesentliche reduziert habe. (rote eingekreist)

Eine Sinus-Spannungsquelle die bei Phi = 90° startet erzeugt beim 
Simulationsstart an einer Induktivität einen Strom deutlich größer Null.
Es sieht so aus als ob die Simulation schon einige ms läuft obwohl ich 
die "Time to start saving Data" in der Simulation auf 0ms gelegt habe 
.tran 0 14.5 0

Wie lässt sich das verhinder bzw umgehen. Auf Schalter, die die Spannung 
im richtigen Moment durchschalten möchte ich verzichten.

Außerdem: Warum lässt sich der Start der Cosinusquelle nicht verzögern, 
der Sinusquelle links im Bild aber schon.

mfg Esko

von Detlef _A (Gast)


Lesenswert?

>>Eine Sinus-Spannungsquelle die bei Phi = 90° startet erzeugt beim
>>Simulationsstart an einer Induktivität einen Strom deutlich größer Null.

Zu Simulationsbeginn wir der stationäre DC Arbeitspunkt berechnet. Nen 
Sinus von 10V für t=0 erzeugt an 1R  10A. Das ist schon richtig so.

>>Wie lässt sich das verhinder bzw umgehen. Auf Schalter, die die Spannung
>>im richtigen Moment durchschalten möchte ich verzichten.

.IC heißt das Kommando. Das steht für 'initial condition' und zwingt ne 
Knotenspannung zu Simulationbeginn auf den angegebenen Wert. Gut 
geeignet auch, um Oszillatoren zum Schwingen zu bringen.

Cheers
Detlef

von Esko (Gast)


Lesenswert?

Danke Detlef!

Genau das habe ich gesucht.
1
.IC I(L1)=0

> Zu Simulationsbeginn wir der stationäre DC Arbeitspunkt berechnet. Nen
> Sinus von 10V für t=0 erzeugt an 1R  10A. Das ist schon richtig so.

Ah, jetzt komme ich dahinter warum das so ist.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.